chipscope采样时钟 采样的是点数深度还是对时间的长度

在FPGA设计中ChipScope与MATLAB的应用_李辉_百度文库
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
在FPGA设计中ChipScope与MATLAB的应用_李辉
&&FPGA与ChipScope Matlab联合使用
阅读已结束,下载文档到电脑
想免费下载本文?
定制HR最喜欢的简历
你可能喜欢技术小站:
地点:深圳
时间:11月2日 14:00 - 17:00
地点:深圳
时间:11月8日 13:00 - 17:30
地点:东莞
时间:11月9日 14:00 - 17:00
地点:西安
时间:11月16日 14:00 - 17:00
零基础快速成为Android项目开发工程师
ARM裸机开发实战(第1期加强版)
张飞硬件设计与开发视频教程
从0到1自己动手写嵌入式操作系统
张飞电子视频全套共十部
讲师:灵训教育
讲师:林超文
讲师:李述铜
讲师:张飞
移入鼠标可放大二维码
Xilinx可编程逻辑器件设计与开发(基础篇)连载35:Spartan - 全文
来源:网络整理 作者:佚名日 07:25
[导读] ChipScope Pro 分析工具(Analyzer tool)直接与ICON、ILA、IBA、VIO及IBERT核相连,用户可以实时地创建或修改触发条件。
9.4 ChipScope Pro分析仪
ChipScope Pro 分析工具(Analyzer tool)直接与ICON、ILA、IBA、VIO及IBERT核相连,用户可以实时地创建或修改触发条件。
注意:虽然ChipScope Pro分析工具能识别设计中的ATC2核,但是需要将JTAG接口与安捷伦逻辑分析仪相连,建立ATC2核与安捷伦逻辑分析仪的通信。
分析工具有两部分:分析工具服务器和客户端。
(1) 服务器是命令行服务程序,可以通过JTAG下载电缆连接目标器件的JTAG口。如果用户想通过JTAG下载线调试本地目标系统,不需要手动打开分析工具服务器,只有当用户需要和远程客户端相连时,才需要手动打开分析工具服务器
(2) 分析工具客户端是一个图形化的用户接口界面(GUI),它连接目标系统的JTAG链,与目标器件中的ChipScope内核通信。分析工具的客户端和服务器可以运行在一台机器上(local host模式)或者不同机器上(remote模式),remote模式在以下情况下非常有用。
调试一个远程系统。
和其他同事共享一个系统资源。
给远程客户演示问题或功能。
在大部分情况下,用户是通过分析工具客户端来对设计进行分析的,这里详细介绍客户端界面和功能。客户端界面如图9-38所示。
图9-38 【Chipscope Pro Analyzer tool】客户端接口
分析工具客户端由菜单栏、常用工具栏、项目浏览器、信号浏览器、主窗口以及信息显示窗口组成。
(1) 菜单栏。
【File】文件菜单:包含与工程相关的操作,如【New Project】新建项目、【Open Project】打开项目、【Save Project】保存项目、【Save Project As】项目另存、【Page Setup】页面建立、【Print】打印、【Import】导入、【Export】导出以及【Exit】退出等命令。其中比较重要的导入和导出功能,【Import】导入用于从设计文件中获取信号列表,【Export】导出用于提取捕获数据,以便后续观察和处理。
【View】视图菜单:包含了显示【Project Tree】项目浏览器和显示【Messages】信息显示窗口两个命令。
【JTAG Chain】边界扫描链路菜单:包含了下载电缆相关的命令,有【Serve Host Setng】主机服务设臵、【JTAG Chain Setup】JTAG链建立、【Xilinx parallel Cable】连接Xilinx并行下载线、【Xilinx parallel USB Cable】连接Xilinx并行USB下载线、【Close Cable】关闭电缆、【Get Cable Informaon】获取电缆信息以及【Auto Core Status Poll】打开自动核状态查询等。
【Device】器件菜单:包含了【JTAG Device Chain Setup】边界扫描链设臵、【Configure】配臵器件、【Show IDCODE】显示器件识别码和【Show USERCODE】显示用户码等命令。
【Windows】窗口菜单:包含了【New Unit Window】新建窗口单元、【Close】关闭、【Auto Layout】自动重排等相关命令。
【Help】帮助菜单:包含了版本信息以及所有核信息。
(2) 项目浏览器:项目浏览器在JTAG边界扫描链正确初始化后会列出扫描链上所有能识别的器件,显示核的数目,并为每个核创建一个文件夹,其中包含了触发条件设置和要观察信号的波形文件。在配置下载完成后,项目浏览器也会同时更新。
(3) 信号浏览器:信号浏览器用于添加和删除视图中的信号,当在项目浏览器中选中一个ChipScope核后,在信号浏览器中会显示出与此核相关的信号,可以对这些信号重命名或将信号组合成总线的形式。
命名信号和总线,双击或者单击右键后选择【Rename】,可以重新命名信号和总线名称。
从窗口中添加/移除信号。用【Clear All】&【Waveform】或者【Clear All】&【Lisng】命令删除信号。用【Add ALL To View】命令添加信号。
合并/添加信号到总线。对于ILA核和IBA核,只有数据信号可以合并总线,对于VIO核,可以将各类信号分组。单击要分组的信号,选择【Add To Bus】&【New Bus】,将总线信号重新排序。利用【Reverse Bus Order】命令可以将LSB到MSB排列的总线顺序变成MSB到LSB排列。
(4) 主窗口:主窗口主要用于显示【Trigger Setup】、【Wavaform】、【Lisng】以及【Bus Plot】等窗口。
(5) 信息显示窗口:信息显示窗口会列出分析仪所有的状态信息,便于用户查看。
使用Analyzer观察信号波形时,首先需要将设计和ChipScope Pro核共同生成的配置文件下载到FPGA芯片中。然后通过设定不同的触发条件捕获数据,将其存储在芯片的BRAM中,通过JTAG链回读到PC上观察波形。
一、 配置目标芯片
打开Analyzer,在常用工具栏上单击,初始化边界扫描链,成功完成扫描后,项目浏览器会列出JTAG链上的器件,如图9-39所示。Analyzer能自动识别出边界扫描链上的所有Xilinx的CPLD、FPGA、PROM以及System ACE芯片。图9-39所示为ML505目标板的JTAG链扫描结果。
图9-39 Analyzer边界扫描结果
当JTAG链扫描正确后,菜单项&Device&才能由灰色变为正常。需要注意的是:ChipScope通过JTAG链来观察芯片内部逻辑,因此在生成配置文件时只能利用.bit格式的配置文件,且时钟需要选择&JTAG CLK&,选择&CCLK&可能会导致配置失败。
二、 设置触发条件
将包含ChipScope核的工程下载到FPGA中以后,还需要设定触发条件才能在Analyzer中捕获到有效波形。Analyzer的触发设置由【Match】匹配、【Trig】触发以及【Capture】捕获三部分组成,其中【Match】用于设置匹配函数,【Trig】用于把一个或多个触发条件组合起来构成复杂的触发条件,【Capture】用于设定窗口的数目和触发位置,典型的配置界面如图9-40所示。
图9-40 Analyzer触发条件配置示意图
(1) 【Match】里设置触发条件的匹配函数,多个函数的组合构成ChipScope Pro核的整个触发条件。
【Match Unit】:用于指定该函数用于哪个触发的匹配函数。
【Function】:选择比较类型。
【Value】:设臵比较的具体数值。
【Radix】:设臵【Value】里的数据格式。可以是十六进制、八进制、二进制、有符号或者无符号数。
【Count】:触发条件所设臵的事件发生多少次之后才认为满足触发条件。
【at least n times】:事件连续或者不连续发生n次后满足触发函数条件。
【for n cycles】:事件只有连续发生n次才算满足触发函数条件。
(2) 【Trig】窗格可以把一个或多个触发条件组合起来,构成复杂的触发条件。触发条件是一个或者多个触发条件的组合,ChipScope Pro根据触发条件判断是否捕获数据。在Analyzer中可以定义多个触发条件。
【Add】按钮:可以加入新的触发条件。
【Del】按钮:可以删除触发条件。
【Trigger Condition Name】:允许用户为触发条件命名。
【Trigger Condition Equation】:显示触发条件的构成,默认为来自不同触发条件函数的逻辑与。如果希望改变该设臵,可以单击该区域,弹出【Trigger Condition】对话框,如图9-41所示。可以根据需要设臵,设臵的触发条件等式将重新显示在对话框的下方。在工程管理窗口选择【Trigger Setup】&【Save Trigger Setup】命令,可以将触发设臵保存到后缀为.ctj的文件中,方便下次调用。选择【Trigger Setup】&【Read Trigger Setup】命令,可以从该文件中读入触发条件设臵参数。
图9-41 【Trigger Condition】设置界面
(3) 【Capture】里可以设置视窗的数目和视窗中触发事件的位置,这些视窗通常包含由一个触发事件产生的一连串连续采样点。
【Type】下拉列表框:定义了所用视窗的类型,如果选择【Windows】类型,那么视窗中的采样数必须是2的若干次方,触发可以发生在视窗的任何位臵;选择【N Samples】类型,缓冲器buffer中将包含多个视窗。每个视窗包含N个采样点,每个触发总在第一个采样窗口。
【Windows】编辑框:用来定义窗口的数目,数值范围为1到捕获缓冲区的深度之间。
【Depth】下拉列表框:每个触发窗口的深度,深度由窗口数量决定。
【Position】编辑框:触发位臵,范围为1到捕获缓冲区深度减1之间。
三、 捕获数据
运行捕获Running/Arming the Trigger,设置好触发条件后,在工程管理窗口中选择【Trigger Setup】&【Run】命令,分析仪进入捕获(arm)状态。如果触发条件得到满足,Core捕获数据,直到设置的缓冲区填满为止,然后通过JTAG上传数据,并在【Waveform】和【Listing】窗口中显示。如果需要强制进行数据捕获,可以选择【Trigger Setup】&【Trigger Immediate】命令,该命令忽略触发条件并立刻进行捕获,直到设置的缓冲区填满为止,并在【Waveform】和【Listing】窗口中显示。
要停止捕获,选择【Trigger Setup】&【Stop Acuqisition】命令。
四、 观察信号
ILA核可以通过【Waveform】、【Listing】和【Bus Plot】窗口观察信号波形。
(1) 【Waveform】窗口
在图9-42所示【JTAG Chain】的ILA核下单击【Waveform】,打开图9-43所示波形显示界面,它类似于逻辑分析仪,可以支持如下操作。
图9-42 观察波形设置
图9-43 Analyzer波形显示界面示意图
重新排列总线和信号的位臵:用户可以拖动信号到所希望的位臵,重新排列总线和信号的位臵。
添加总线:可按住Ctrl键,选择多个总线信号,单击右键,选择&Add to Bus&命令,将其组合成相应的总线信号,如DataPort,如图9-43所示。
对信号和总线进行编辑:剪切/复制/粘贴/删除信号和总线,在信号或总线名称上单击右键,选择相应的命令。同时支持Windows组合键操作(剪切命令Ctrl+X,复制命令Ctrl+C,粘贴命令Ctrl+V,删除命令Delete)。
对信号和总线进行放大和缩小。
测量光标:【Waveform】窗口中可以设臵两个光标──X和O。用户可以放臵这两个光标到采集的数据点上。该点的信号和总线状态将在X或者O里显示,两个带颜色的竖线分别为X和O坐标。
(2) 【Listing】窗口如图9-44所示。
图9-44 【Listing】窗口界面
【Listing】窗口以列表形式显示采样缓冲区。基本功能和【Waveform】相同。
(3) 【Bus Plot】绘图窗口如图9-45所示。
图9-45 【Bus Plot】窗口界面
【Plot】选项组。
【data vs. time】:显示所有的总线,每条总线有单独的颜色,可以根据数据格式(十六进制,二进制,十进制等)显示。
【data vs. data】:需要选择两条总线,x和y坐标分别对应一组总线。
【Display】选项组:图形绘制方式可以是【lines】线、【points】点或者【 lines and points】点线。
【Bus Selection】选项组:选项中列出设臵中所有的总线,根据【Plot】选项组设臵的方式,选择所要观测的总线组。可以单击总线名旁边的【Colored】按钮,选择总线的颜色。
【Min/Max】选项组:显示视图中的最大最小值。
【X】【Y】:显示鼠标所在位臵的x或y坐标。
如果设计中有VIO核,可以在【VIO Console】窗口观测虚拟输入输出信号状态,如图9-46所示。
图9-46 【VIO Console】窗口界面
(1) 【Bus/Signal】栏:包含VIO核的总线或者信号名称。单击右键,设置信号/总线的【Type】显示类型和【Persistence】显示时间长度。
【Type】:设臵VIO总线/信号的类型。
VIO输入信号支持的显示类型:
文本:ASCII字符
LEDs(红、蓝、绿三个颜色可选;可以设置为高有效或低有效)
VIO输入总线只支持一个有效显示类型
VIO输出信号支持的显示类型:
文本:ASCII文本
按钮:高有效或低有效
脉冲序列(只针对同步输出)
单脉冲(只针对同步输出)
VIO输出总线就有两个有效显示类型:
脉冲序列(只针对同步输出)
【Persistence】:设臵VIO总线/信号【Value】栏的显示时间长度。
【Infinite】:一直显示VIO总线/信号。
【Long】:显示80个采样周期。
【Short】:显示8个采样周期。
(2) 【Value】栏:显示信号的当前值。
五、 导入、导出数据
ChipScope提供了强大的数据采集能力,最大深度可达16384,单靠肉眼观测是不可行的,需要将采集波形存储下来,再通过VC、MATLAB等工具完成后续分析。【File】菜单下【Export】命令,可导出.VCD、.ASCII以及.FBDT等3种类型的文件。
用户可以对采样信号进行重命名,然后在ChipScope中导入所有信号名,以方便观察和分析。Core Generator、Core Inserter、Synplicity Certify和FPGA Editor 工具都可以产生有关信号名的文件,在ChipScope中,可以运行【File】菜单下【Import】命令,从指定文件中导入信号名。
9.5 利用FPGA Editor修改Chipscope Pro核信号连接
对于使用高密度FPGA实现的复杂的逻辑设计,每次在ChipScope Pro Core中修改信号探点都需要重新进行布局布线,布局布线需要花费较长的时间。更令人头痛的是,布局布线后的结果可能每次都有差异,有时会变得很差。如何解决这个问题呢?FPGA Editor与ChipScope的协同工作有效地解决了这个问题。
利用FPGA Editor和ChipScope Pro协同工作,可在FPGA Editor中对器件内的ChipScope Pro探点直接修改。由于不再布局布线,不仅提高了工作效率,而且ChipScope Pro Analyzer的跟踪和分析也更准确。
运行ISE 11.x设计工具,在【Processes for Source....】窗口中单击【View/Edit Routed Design(FPGA Editor)】。弹出FPGA Editor界面,在右边菜单栏中单击【ILA】命令,打开如图9-47所示的ILA设计界面,在【Array】窗口中修改布线。
图9-47 【FPGA Editor】ILA设计界面
【ILA Capture Units】列表框:列出了设计中ILA捕获单元的名称和位信息。
【Data and Trigger Bits】列表框:列出了所选ILA捕获单元的类型、位数、网线和部件信息。
【Write CDC..】按钮:保存ChipScope Pro Core的修改为后缀为.cdc的文件,默认值为原设计文件。
【Change Net】按钮:用来修改ILA Core的连线,单击该按钮,在FPGAEditor窗口下边信息栏中同时显示该连线的延时数据。用这个按钮可以更改ChipScope网线连接到一个设计者所关心的网线上。
【View Component】按钮:用于在【Block】窗口中显示所选部件的内部结构。
【Bitgen..】按钮:用于重新生成FPGA的比特流文件。
【Download】按钮:启动iMPACT工具,把比特流文件下载到目标器件中。
本章详细介绍了Xilinx内嵌逻辑分析仪ChipScope Pro,它是Xilinx开发的功能强大的在线调试工具,它解决了用片外逻辑分析仪进行设计调试时需要硬连接的瓶颈。本章首先介绍了ChipScope Pro的各个组成部分,包括ICON、ILA、VIO和ATC2等功能模块,接着用一个实例介绍了ChipScope Pro的应用,最后讨论了用ChipScope Pro分析仪进行设计调试的方法。希望通过本章介绍,读者能快速熟悉并掌握ChipScope Pro的应用,并借助此工具,快速找到并排除设计中的问题。
德州仪器(TI)
供应链服务
商务及广告合作
Jeffery Guo
关注我们的微信
供应链服务 PCB/IC/PCBA
版权所有 (C) 深圳华强聚丰电子科技有限公司
电信与信息服务业务经营许可证:粤B2-39第11章 片内逻辑分析仪工具――ChipScopePro-第5页
上亿文档资料,等你来发现
39第11章 片内逻辑分析仪工具――ChipScopePro-5
ChipScopeProAnalyzer的程序状;ChipScopeProAnalyzer支持Pa;如果返回错误信息“FailedtoOpenCom;图11.19打开一个并口电缆连接;在自动检测状态下,接口电缆会有规则地检测Chip;利用ChipScopeProAnalyzer可以;Analyzer一旦成功地与下载电缆通信,它就可;对于自动检测不到的器件,必
ChipScope Pro Analyzer的程序状态的重要信息,例如信号名称,信号顺序,总线配置,以及触发条件等。因此,通过工程可以方便地保存和重新得到设置的各种信息。 打开并口电缆连接 ChipScope Pro Analyzer支持Parallel Cable III,Parallel Cable IV,和Agilent E5904等下载电缆。打开一个并口电缆连接之前,必须保证电缆已经连接到计算机的并口上。假设使用的是Cable III或Cable IV,则选择Cable->Parallel Cable。Analyzer会弹出对话框提示端口名,一般默认的并口名为LPT1,如图11.19所示。如果连接正确,Analyzer会自动查询边界扫描链以确定其组成。 如果返回错误信息“Failed to Open Communication Port”,那么仔细检查一下Parallel Cable III或Parallel Cable IV是否正确地连接到LPT端口。如果没有安装Parallel Cable III或Parallel Cable IV的驱动,应参照ChipScope Pro软件的安装程序安装所需的设备驱动程序。
图11.19 打开一个并口电缆连接
在自动检测状态下,接口电缆会有规则地检测ChipScope Pro内核以确定捕获的状态。如果其他程序同时也在使用下载电缆,例如iMPACT等,这时最好关掉自动检测,通过取消Cable菜单下的Auto Core Status Poll完成。如果这一选项没有选择,当运行Run或Trigger操作时Analyzer将不会自动检测ChipScope Pro内核的状态。 配置目标器件
利用ChipScope Pro Analyzer可以对JTAG链上的器件进行配置等操作,主要包括以下几个步骤: 1、 建立边界扫描链 Analyzer一旦成功地与下载电缆通信,它就可以自动地检测边界扫描链以确定链上的器件组成情况。所有Xilinx公司的器件都可以自动地检测到。要检查JTAG链的组成,选择Device菜单下的JTAG Device Chain Setup,就会弹出一个对话框,按顺序显示出检测到的器件。 对于自动检测不到的器件,必须手动指定IR(指令寄存器)的长度,以保证与ChipScope Pro内核的正确通信。这类信息可在器件的BSDL文件中找到。下面例子的JTAG链中有4个XC18V00 PROM和4个XC2V1000 Virtex-II FPGA(图11.20)。通过选择【Read USERCODEs】可以读出目标器件的USERCODE,在此例子中只有XC2V1000才可以设置USERCODE。 - 21 -
图11.20 边界扫描链建立窗口 2、 配置器件
要利用JTAG端口配置目标器件,选择Device->Configure和要配置的器件。只有有效的目标器件才可以配置,并显示在要配置的器件列表中,如图11.21,这里只有器件1、3、5和7可以配置。当然,在左边的项目浏览器中单击鼠标右键,也可以得到同样的选项。
图11.21 配置目标器件 选择了一个器件后,会弹出JTAG Configuration对话框,选择要配置的BIT文件,点击【OK】开始配置器件。 3、 观察配置过程 器件配置过程中,配置的状态显示在信息窗口中。如果没有显示“DONE”,则会说明配置过程中遇到的问题。如果配置成功,会自动检测目标器件的ChipScope Pro Core,在项目浏览器下显示出当前器件内所有的Core。并且为每一个Core建立了一个文件夹,文件夹内包含了“触发设置(Trigger Setup)”,“波形(Waveform)”,及“列表(Listing)”等节点。如果是ILA Core,则还会有一个“总线绘制(Bus Plot)”的节点。 设置触发条件
要为一个ChipScope Pro Core设置触发条件,选择Window->New Unit Window及相应的Core。这时会弹出一个对话框,可以任意选择Trigger Setup窗口,Waveform窗口,Listing窗口,Bus Plot窗口。然后点击【确定】即可打开选中的窗口。也可以通过双击工程目录下的【Trigger Setup】节点来打开触发设- 22 - 置窗口。如图11.22所示。
图11.22 打开触发设置对话框 每一个ChipScope Pro Core都有自己的触发设置窗口。下面将介绍怎样来修改触发机制的三个组成部分: ? 捕捉设置:设定捕捉多少个采样点,几个捕捉窗口,以及在这些窗口中触发的位置 ? 匹配函数:为每个匹配单元设定为匹配关系或比较关系 ? 触发条件:设定最终的触发条件,基于一个或多个匹配函数 1、 捕捉设置 触发设置窗口的捕捉设置部分(图11.23)用来设定窗口的数量,以及每个窗口中触发事件发生的位置。每个窗口包含一个触发事件的邻近采样序列。
图11.23 捕捉设置 类型(Type) 类型组合框用来设置使用的窗口类型。如果选择了“Window”,每个窗口的采样点数必须是2的整次幂。当然,触发点可以设在窗口的任何位置。如果选择了“N Samples”,每次触发,缓冲区会存储N个采样,直到缓冲区满为止,整个波形显示窗口将被划为多个,触发点总是作为第一个采样点。 窗口(Windows) 在【Type】组合框中选择了“Window”,才有此项设置。在文本栏输入将整个缓冲区划分的“窗口”数量,范围从1到缓冲区的深度。 深度(Depth) 这一项设定了每个捕捉窗口的采样深度。当捕捉窗口的个数确定以后,这一项会自动改变。例如,缓冲区的深度为1024,当Windows项为1时,Depth为1024;若将Windows设为2,则Depth自动变为512。Depth的值必须是2的整数次幂。 位置(Position) 这一项设置了在每个捕捉窗口中触发点所在的位置,有效范围从0到捕捉缓冲区的深度减1。 每次触发的采样点数(Samples Per Trigger) 这一项是在【Type】栏选择了“N Samples”后出现。它设定了一次触发条件满足时要捕捉的采样点数。有效范围从1到缓冲区的深度。触发标志总是作为窗口的0采样点。在指定的缓冲区深度下,会有尽可能多次的触发。例如,缓冲区深度为1024,如果设定每次触发的采样点数为100,则连续10次触发- 23 - 的采样结果将会显示出来。 时标(Timestamp) Timestamp复选框为捕捉使能时间标志。这一选项只在ILA/ATC核时有效。时标值将会显示在波形窗口和列表窗口的水平标尺上。 2、 匹配函数 每个匹配函数定义了该匹配单元的匹配值。所有的匹配函数在触发设置窗口的匹配函数部分进行定义,如图11.24所示。在“触发条件”部分,可以将一个或多个匹配函数组合成一个等式,来决定这个核的最终触发条件。
图11.24 设置匹配函数
匹配单元(Match Unit) 这一区域列出所有的匹配单元。点击每个匹配单元号前的“+”符号,这一匹配单元的每位触发端口将会以列表形式显示。每一位的值可以单独地进行设置。 函数(Function) 函数组合框用来选择采用何种比较关系。只有指定的匹配单元允许的比较关系才在组合框内列出。 数值(Value) 这一区域用来准确地设定匹配单元的触发值。显示方式由基数(Radix)域确定。可以直接对数值进行编辑。 基数(Radix) 这一组合框用来选择数值域的显示方式。可以选择的有十六进制(Hex)、八进制(Octal)、二进制(Bin)、有符号和无符号格式。 计数器(Counter) 这一区域用来设置触发匹配函数事件的次数,作为满足这一匹配单元的触发函数。如果选择了at least n times ,则n次连续的或不连续的事件就会满足触发函数。如果选择了n cycles,则只有连续的n次事件才能满足触发函数。这一功能只在ChipScope Pro 6.1以后的版本提供。 3、 触发条件 触发条件是一个或多个匹配函数的组合。可以通过【Add】和【Del】按钮增加或是减少一个触发条件。虽然一个Core可以设置多个触发条件,但在任何时刻只能选择一个。 激活触发条件(Active) Active域用来指示当前哪一个触发条件被激活。 触发条件名称(Trigger Condition Name) 这一区域用来为特定触发条件设置一个便于记忆的名称,默认名称为TriggerCondition n,如图11.25。
图11.25 查看触发条件
触发条件等式(Trigger Condition Equation) 这一区域显示出由匹配函数的组合构成的当前触发条件。要改变触发条件,点击这一区域,就会弹出触发条件编辑对话框(图11.26)。
触发条件编辑对话框 - 24 - 触发条件对话框列出了所有的匹配单元,每个匹配单元一行。使能(Enable)栏表示这个匹配单元是否作为整个触发条件的一部分。取反(Negate)栏表示这个匹配单元是否要先取反(布尔值的NOT),然后再作为整个触发条件的部分。所有“使能”的匹配单元可以按“与(AND)”或“或(OR)”组合。整个关系式可以通过“Negate”复选框进行取反。最终的关系式显示在窗口底部的【Trigger Condition Equation】处,如图11.26所示。
图11.26 设置触发条件等式 4、 保存和恢复触发设置 触发设置窗口的所有信息可以保存为一个文件,以便于以后在当前的工程或其他工程中直接调用此设置。要保存当前的触发条件,选择Trigger Setup菜单下的Save Trigger Setup,会弹出一个保存文件的窗口,可以选择任意路径,以扩展名.ctj保存。要为当前的工程导入一个触发设置文件,则选择Trigger Setup菜单下的Read Trigger Setup,从弹出的对话框中选择文件即可。 5、 运行触发监测 触发设置完毕后,选择Trigger Setup菜单下的Run就进入触发监测状态,直到触发条件满足或者手动停止监测。一旦触发条件满足,ChipScope Pro核就会根据捕捉设置情况捕捉数据。当采样缓存器存满后,数据捕捉就停止,然后数据就会从Core导入,并显示在Waveform和(或)Listing窗口。
要强制进行触发,选择Trigger Setup下的Trigger Immediate,这样ChipScope Pro单元就会忽略触发条件立即触发。同样,采样缓存器存满后,捕捉的数据就会显示在Waveform和(或)Listing窗口。 6、 停止触发监测 要停止触发的监测状态,选择Trigger Setup下的Stop Acquisition。如果在停止之前触发条件至少满足了一次,ChipScope Pro Analyzer会停止监测状态,并显示捕捉的数据。如果要再次进入触发监测,重新选择Trigger Setup->Run即可。
- 25 - 三亿文库包含各类专业文献、外语学习资料、生活休闲娱乐、各类资格考试、应用写作文书、39第11章 片内逻辑分析仪工具――ChipScopePro等内容。 
 chipscope教程_计算机软件及应用_IT/计算机_专业资料。ChipScope Pro 实例教程 1....Net Connections 选项卡用于将在线逻辑分析仪的输入信号和设计中的网线连接起来。...  实验六 ChipScope 应用基础实验 ChipScope 是指在线逻辑分析仪,本章通过一系列的...是针对 Xilinx Virtex-II Pro 等系列 FPGA 的在线片内信号分 析工具,主要功能...  点击 OK 打开 ChipScope Pro Analyzer,默认开启了 Trigger Setup 和 Waveform ...软件,掌握了系统调试、向系统中加入 ChipScope 片内逻辑分析仪,以及交叉调试的...  实验原理及基本方法 ChipScope 是指在线逻辑分析仪 ChipScope Pro 具有传统逻辑分析仪的功能,是针对 Xilinx Virtex-II Pro 等系列 FPGA 的在线片内信号分析工具,...  实验原理及基本方法 ChipScope 是指在线逻辑分析仪 ChipScope Pro 具有传统逻辑分析仪的功能,是针对 Xilinx Virtex-II Pro 等系列 FPGA 的在线片内信号分析工具,...  S 单 USB 100 片机 控制 电路 毛刺 产生 电路 ...工具条上四个按扭分别是设置,触发,波形和数据。点击...图 11 逻辑分析仪设置面板 时钟选择: 内时钟:分析...  熟悉向系统中加入 ChipScope 片内逻辑分析仪的方法和交叉调试方 法 二、 实验内容...在成功生成设计之后, 使用 SDK debugger 和 ChipScope Pro 工具观察其运行过程...  涉及 Xilinx 的 ISE 硬件实现工具和嵌入系统开发包 EDK, 以及片内逻辑分析工具(CChipScope Pro)等。第三方的工具包括 MATLAB/SimuLink 和 ModeISim 等,可以进行 ...}

我要回帖

更多关于 采样点数 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信