vivado波形怎么弄成cad怎么白底黑线线

vivado保存debug波形
Vivado下debug后的波形通过图形化界面并不能保存抓取到波形,保存按钮只是保存波形配置,如果需要保存波形需要通过TCL命令来实现:write_hw_ila_data 0730_ila_1 [upload_hw_ila_data hw_ila_1]write_hw_ila_data 0730_ila_2 [upload_hw_ila_data hw_ila_2]0730_ila_1为保存的文件名,需要带路径,hw_ila_1为你的ILA的名字,[]中为对应的ILA核。如果要读取已保存的波形,可以用下面的命令:read_hw_ila_data 0730_ila_1.iladisplay_hw_ila_dataread_hw_ila_data 0730_ila_2.iladisplay_hw_ila_data多个ILA核时,必须带[]另外可以使用write_hw_ila_data -help 查看说明
阅读(...) 评论()在Vivado调试模式下,如何保存波形配置文件? | 电子创新网赛灵思中文社区vivado保存debug波形
时间: 16:51:32
&&&& 阅读:274
&&&& 评论:
&&&& 收藏:0
vivado保存debug波形
Vivado下debug后的波形通过图形化界面并不能保存抓取到波形,保存按钮只是保存波形配置,如果需要保存波形需要通过TCL命令来实现:write_hw_ila_data 0730_ila_1 [upload_hw_ila_data hw_ila_1]write_hw_ila_data 0730_ila_2 [upload_hw_ila_data hw_ila_2]0730_ila_1为保存的文件名,需要带路径,hw_ila_1为你的ILA的名字,[]中为对应的ILA核。如果要读取已保存的波形,可以用下面的命令:read_hw_ila_data 0730_ila_1.iladisplay_hw_ila_dataread_hw_ila_data 0730_ila_2.iladisplay_hw_ila_data多个ILA核时,必须带[]另外可以使用write_hw_ila_data -help 查看说明标签:
&&国之画&&&& &&&&chrome插件
版权所有 京ICP备号-2
迷上了代码!VIVADO下ILA使用指南;ILA是VIVADO下的一个DEBUG-IP,类;第一部分RTL设计;modulecounter;inputclk,;output[3:0]q;);;;//想抓取cnt信号进行观察(*keep=&qu;第二部分加入LIA核;在vivado工程中,打开IPCatalog选项;进入ILA核的配置界面(2页);第一页;
VIVADO下ILA使用指南
ILA是VIVADO下的一个DEBUG- IP,类似于片上逻辑分析仪,通过在RTL设计中嵌入ILA核,可以抓取信号的实时波形,帮助我们定位问题。本文档以一个简单的COUNTER设计为例,对VIVADO(2014.1)下ILA核的使用进行说明。
module counter
//想抓取cnt信号进行观察 (* keep = &TRUE& *)reg [3:0]
cnt = 4'd0; assign
q = always@(posedge clk) begin
cnt &= cnt + 4'd1; end endmodule
在vivado工程中,打开IP Catalog选项,找到ILA核
进入ILA核的配置界面(2页)
在“component Name”可以修改例化名, 在“Number of Prober”可以修改想抓取信号的分组个数,在本例中仅观察1组信号cnt,在“sample Data Depth”可以修改抓取信号的深度,本例选择默认值1024。其他选项保持默认值。
在“Probe Width”选择各分组信号的位宽,我们需要观察的cnt信号为4bit,这里选择4。 点击OK,到此为止,ILA的配置完成
在RTL中嵌入ILA核
在vivado工程的sources窗口找到刚生成的ILA核的例化代码
将其复制到RTL设计中,并连接好信号
module counter
//想抓取cnt信号进行观察 (* keep = &TRUE& *)reg [3:0]
cnt = 4'd0; assign
q = always@(posedge clk) begin
cnt &= cnt + 4'd1; end ila_0
u_ila ( .clk
(cnt) ); endmodule
ps:ILA的clk需要连接到需要观察信号的相应时钟域,在一个RTL中可以嵌入多个ILA,方便观察不同时钟域 的信号
使用vivado在线抓取信号波形
1)修改完RTL后,点击Generate Bitstream生成bit文件
2)开发板上电,接上JTAG下载器,然后打开
open Target
Open New Target..
三亿文库包含各类专业文献、生活休闲娱乐、外语学习资料、专业论文、应用写作文书、高等教育、各类资格考试、93VIVADO下ILA使用指南等内容。 
 下面就介绍 VIVADO 中如何使用 debug 工具。 Debug 分为 3 个阶段 1. 探测...注意 每一个时钟域对应一个单独的 ILA 2.0core。 在此框中选择所需时钟,点击...  vivado下抓波形_电子/电路_工程科技_专业资料。在vivado工具下,如何进行调试 由于项目工作需要,芯片换成 V7,最近也开始使用 Vivado 进行编译、仿真等。这里有很多 ...  下 面就介绍 Vivado 中如何使用 debug 工具。 Debug 分为 3 个阶段: 1. ...注意每一个时钟域对应一个单独的 ILA 2.0core。 在此框中选择所需时钟,点击 ...  对系统使用的驱动包进行了说明) (2)添加头文件:复制 examples 中的头文件: x...? Vivado? logic analyzer feature: used with new ILA v3.x, VIO v3.x,...}

我要回帖

更多关于 vivado 抓波形 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信