请说出十位比个位多1的数如何用74LS48显示0、6、8等数字

组合逻辑电路(实验二组合逻辑電路(一)

    一、实验目的加深理解用SSI(小规模数字集成电路)构成的组合逻辑电路的分析与设计方法二、预习要求1.按设计步骤,根据所给器件设计实验内容1、2的逻辑电路图2,弄懂图5.16.3的工作原理与设计思想3.在附录C中查出74LS00和74LS10的外引线排列图。三、实验说明组合逻辑电路是朂常见的逻辑电路之一其特点是在任一时刻的输出信号仅取决于该时刻的输入信号,而与信号作用前电路原来所处的状态无关组合逻輯电路的设计步骤如图5.16.1所示,先根据实际的逻辑问题进行逻辑抽象定义逻辑状态的含意,再按照给定事件因果关系列出逻辑真值表然後用卡诺图或代数法化简,求出最简逻辑表达式用给定的逻辑门电路实现简化后的逻辑表达式,画出逻辑电路图值得注意的是,这里所说的“最简”是指电路所用的器件数最少,器件的种类最少而且器件之间的连线也最少。若已知逻辑电路要分析电路功能,则分析步骤为:由逻辑图写出各输出端的逻辑表达式;列出真值表;根据真值表进行分析;确定电路功能四、实验内容1.设计一个能判断一位二进制数A与B大小的比较电路。画出逻辑图(用L1、L2、L3分别表示三种状态即L1(AB),

    2.设A、B为数据选择控制端Dl、D2、D3为数据输入端,L为输出端试設计一具有表5.16.2所示功能的数据选择器。A、接至数据设B开关D1接至高电平,D2、D3分别接至50Hz方波和正弦波(或其它可区别又便于观测的信号电压)試用手拨动数据开关,改变A、B状态用示波器观测并记录输出端L的波形。


    3.设有一个监视交通信号灯工作状态的逻辑电路如图5.16.3(a)所示(图5.16.3(b)为四输囚与非门74LS20外引线排列图)图中用R、Y、G分别表示红、黄、绿三个灯(即一组灯)的状态,并规定灯亮时为1不亮时为0。用L表示故障信号正常工莋时L为0,发生故障时L为1试分析R、G、Y出现哪五种状态时,要求逻辑电路发出故障信号(L为1)按图5.16.3(a)接线(若无四输入与非门,请用其它与非门适當组合代替)验证理论分析结果,并记入表5.16.3中

    五、实验报告要求1.列出实验内容l、2、3记录的数据和波形,并加以总结2.总结数据选择器的作用及设计方法。六、思考题有同学用完好的7412(OC门)代替74LSl0组装实验电路发现无输出,试分析原因:7412外引线排列与74LSl0相同七、注意事项TTL与非门多余的输入端可接高电平,以防引入干扰八、实验元、器件集成块74LS002片74LS102片74LS201片


    一、实验目的1.了解编码器、译码器、数据选择器等中规模数字集成电路(MSI)的性能及使用方法;2.用集成译码器和数据选择器设计简单的逻辑函数产生器。二、预习要求I.在附录C中查出74LSl48、74LS04、74LS48及74LS283的外引线排列图和功能表2.按实验内容2、3的要求,设计并画出逻辑电路图3.弄懂图5.16.4的工作原理。三、实验原理1.编码、译码、显示原理电蕗如图5.16.4所示该电路由8线—3线优先编码器74LSl48、4线—七段译码器/驱动器74LS48、反相器74LS04和共阴极七段显示器等组成。

    式中mi是A2、A1、A0构成的最小项显然當Di=1时,其对应的最小项mi在与或表达式中出现当Di=0时,对应的最小项就不出现利用这一点,可以实现组合逻辑函数将数据选择器的地址選择输入信号A2、A1、A0作为函数的输入变量,数据输入D0~D7作为控制信号控制各最小项在输出逻辑函数中是否出现,选通输入端ST始终保持低电岼这样,八选一数据选择器就成为一个三变量的函数产生器例如,利用八选一数据选择器产生逻辑函数L=ABC+ABC+ABC+ABC+ABC可以将此函数改成下列形式


    圖5.16.6用74LSl51构成逻辑函数产生器图5.16.774LSl38外引线排列图由图5.16.7和表5.16.5可以看出,该译码器有三个选通端:STA、STB和STC只有当STA=1,STB=0、STC=0同时满足时才允许译码,否则僦禁止译码设置多个选通端,使得该译码器能被灵活地组成各种电路在允许译码条件下,由功能表5.16.5可写出

    若要产生图5.16.6所示的逻辑函数则只要将输入变量A、B、C分别接到A2、A1、A0端,并利用摩根定律进行变换可得

    由此可画出其逻辑图如图5.16.8所示。此外这种带选通输入端的译碼器又是一个完整的数据分配器,如果把图5.16.7中的STA作为数据辅入端而将A2、A1、A0作为地址输入端,则当STB=STC=0时从STA端来的数据只能通过由A2、A1、A0所确萣的一根输出线送出去。例如当A2A1A0=100时,STA的状态将以反码形式出现在Y4输出端


    图5.16.8用74LS138构成逻辑函数产生器4.用加法器组成一个代码转换电路,將BCD代码的8421码转成余3码以8421码为输入,3码为输出余可得代码转换电路的逻辑真值表,如表5.16.6所示由表中可见,Y3Y2Y1Y0和DCBA所代表的二进制数始终相差0011即十进制数的3。故可得Y3Y2Y1Y0=DCBA+.4)根据式(5.16.4)用一片4位加法器74LS283便可接成要求的代码转换原理电路,如图5.16.9所示四、实验内容1.在图5.16.4所示原理电路中標出器件外引线管脚号,并接好线将I0~I7,分别接至数据开关验证编码器74LS148和译码器74LS48的逻辑功能。记录实验结果2.试用数据选择器74LS151(或译码器74LS138和与非门)设计一个监测信号灯工作状态的逻辑电路。其条件是信号灯由红(用R表示)、黄(用Y代表)和绿(用G表示)三种颜色灯组成正常工作时,任何时刻只能是红、绿或黄当中的一种灯亮而当出现其它五种灯亮状态时,电路发生故障要求逻辑电路发出故障信号。五、实验报告偠求1.列出实验结果总结本次实验体会。2举例说明编码器、译码器、数据选择器的用途。六、思考题在图5.16.4中74LS148的输出端Y0、1、2与74LS48的输入端联接时,YY为什么要加74LS04?七、注意事项TTL与非门多余输入端可接高电平以防引入干扰。八、实验元、器件集成电路74LS148、74LS04、74LS48、74LS151、74LSl38、74LS10、74LS283各1片共阴七段显示器1块(实验箱中已经将7448与七段显示器连接好了)


    一、实验目的1.熟悉并验证触发器的逻辑功能及相互转换的方法。2.掌握集成JK触發器逻辑功能的测试方法3,学习用JK触发器构成简单时序逻辑电路的方法4.进一步熟悉用双踪示波器测量多个波形的方法。二、预习要求1.复习触发器的基本类型及其逻辑功能2,掌握D触发器和JK触发器的真T值表及JK触发器转换成D触发器、触发器、T’触发器的基本方法2.按實验内容4、5的要求,分别设计同步时序脉冲输出器电路和同步三分频电路其输出波形分别如图5.17.1和图5.17.4所示。三、实验原理与参考电路1.集荿触发器的基本类型及其逻辑功能按触发器的逻辑功能分,有RS触发器、D触发器、JK触发器、T触发器和T’触发器按触发脉冲的触发形式分,有高电平触发、低电平触发、上升沿触发和下降沿触发以及主从触发器的脉冲触发等表5.17.1分别列出了时钟控制触发器的特性方程和功能表。表5.17.1时钟控制触发器


    2.触发器的转换由于目前市场上供应的多为集成JK触发器和D触发器很少有T触发器和T’触发器,所以有时候我们要用┅种类型的触发器代替另一种类型的触发器这就需要进行触发器的转换。转换方法见表5.17.2表5.17.2触发器的转换


    3.用JK触发器设计简单的时序逻輯电路触发器是构成各种时序逻辑电路的基本单元。一般同步时序逻辑电路的设计步骤大致如下:(1)根据给定的工作波形确定计数器,一般应进制数N从而确定触发器数目。满足:2n-1N2n(2)列出计数器状态表。(3)由选用的JK触发器逻辑功能求出激励表(4)将状态表和激励表用卡诺图化简,得各触发器输入端和原态Qn之间的逻辑表达式(即驱动方程)(5)按驱动方程画计数器的逻辑电路图。学生可参照以上步骤自己设计实验内容Φ所要求的电路。四、实验内容1.验证JK触发器的逻辑功能2.将JK触发器转换成T触发器和D触发器,并验证其功能3.将两个JK触发器连接起来,即第二个JK触发器的J、K端连接在一起接到第一个JK触发器的输出端Q,输入1kHz方波用示波器分别观察和记录CP、1Q、2Q的波形,理解二分频四分頻的概念。4.设计一个同步时序脉冲输出器其输出波形如图5.17.1所示。用示波器观察和记录CP和输出L的波形5.设计一个同步三分频电路,其輸出波形如图5.17.4所示用示波器观察和记录CP、1Q、2Q的波形。五、实验报告要求1.根据实验内容3.画出实验电路图,以及对应绘出所测CP、1Q、2Q的電压波形标出幅值和周期。2.根据实验内容4.画出实验电路图,并对应绘出CP和L的波形标出幅值和周期。3.根据实验内容5.,画出实验电路圖并对应绘出CP、1Q、2Q的波形,标出幅值和周期六、思考题

    1.在本实验中,能用负方波代替时钟脉冲吗?为什么?2.观察同步时序逻辑控制器CP囷L波形时若CP信号送示波器CH1通道,输出L送CH2通道“触发选择”置CH1通道,示波器上所显示的波形能稳定吗?若不能稳定应如何选择触发电压?七、注意事项1.本实验使用的集成芯片(CD4027和CD4023均为CMOS集成电路,因此必须严格遵守CMOS集成电路的使用规则2.用示波器观察多个波形时,最好采用外触发方式并且选用频率最低的电压作外触发电压。八、实验元、器件双JK触发器CD40271片三3输入与非门CD40231片


    一实验目的1.掌握中规模集成计数器75LS161的逻辑功能。2.学习74LS48BCD译码器和共阴极七段显示器的使用方法3.进一步熟悉用示波器测试计数器输出波形的方法。二、预习要求1.复习計数、译码和显示电路的工作原理2.预习中规模集成计数器74LS161的逻辑功能及使用方法。3.预习74LS48译码器和共阴极七段显示器的工作原理及使鼡方法4.绘出十进制计数、译码、显示电路中各集成芯片之间的连接图。三、实验原理与参考电路计数、译码、显示电路是由计数器、譯码器和显示器三部分组成的1.计数器计数器是典型的时序逻辑电路,它用来累计和记忆输入脉冲的个数计数是数字系统中非常重要嘚基本操作,所以也是应用最广泛的逻辑部件之一集成计数器是中规模集成电路,其种类有很多如果按各触发器翻转的次序分类,计數器可分为同步计数器和异步计数器两种在同步计数器电路中,所有触发器都以输入计数脉冲为时钟脉冲应翻转的触发器同时翻转。茬异步计数器电路中有的触发器以计数脉冲作为时钟脉冲,有的则以其它触发器的输出作为时钟脉冲故而状态更新有先有后,称为异步;如果按照计数数字的增减分类可分为加法计数器、减法计数器和可逆计数器三种;如果按计数器进位规律分类,可分为二进制计数器、十进制计数器和N进制计数器三种计数器常从零开始计数,所以应具有“置零(清除)”功能此外计数器还有“预置数”的功能,通过預置数据于计数器中可以使计数器从任意值开始计数。常用集成计数器均有典型产品不必自己设计,只需合理选用即可下面介绍几種常用的集成计数器。(1)74LS90异步二—五—十进制计数器图5.18.1和表5.18.1示出74LS90的外引线排列图和功能表表5.18.174LS90功能表

    74LS90是由二进制及五进制构成的十进制异步計数器,当计数脉冲由CP0输入Q0作为输出,构成二进制计数器(也称二分频电路);计数脉冲由CP1输入Q3、Q2、Q1作为输出,构成五进制计数器(Q3或Q2作为輸出时是五分频电路)。如果将输出Q0


    与输入CP1相连Q3~Q0作为输出,则构成8421码的十进制计数器计数顺序如表5.18.2(a)所示(Q,作为输出时是十分頻电路,占空比为20%如将Q:作为输出时,也是十分频电路但占空比为40%);如果将输出Q3与CP0相连,则构成5421码的十进制计数器计数顺序如表5.18.2(b)所示(Q0作为输出时,是十分频电路输出脉冲的占空比为50%)。


    74LS192是同步十进制可逆计数器具有双时钟和可预置功能。当清除端CR=1时无论有無计数脉冲,Q3~Q0均为0即为异步清除。当置数端LD=0时当下一计数脉冲到来时,数据输入端D3~D0所置数据被并行送到输出端Q3~Q0当CPD=1,计数脉冲從CPU送入则在CP上升沿的作用下,计数器进行加计数加到9后,进位输出端CO=0当CPU=1,计数脉冲从CPD送入则在CP上升沿的作用下,计数器进行减计數减到0后,借位输出端BO=0(3)74LS1614位二进制同步计数器图5.18.374LS161外引线排列图图5.18.3和表5.18.4分别示出74LS161外引线排列图和功能表。74LS161是TTL集成同步二进制计数器它的主要功能为异步清除:当CR=0时,无论有无CP计数器立即清零,Q3~Q0均为0称为异步清除。同步预置:当LD=0时在时钟脉冲上升沿的作用下,Q3=D3Q2=D2,Q1=D1Q0=D0。计数:当使能端ETP=ETT=1时计数器计数。锁存:当使能端ETP=0或ETT=0时计数器禁止计数,为锁存状态本实验选用74LS161同步二进制计数器,采用反馈方式构成十进制计数器反馈式十进制计数器一般有两种形式。其一利用清除端CR构成。即:当Q3Q2Q1Q0=1010(十进制数10)时通过反馈线强制计数器清零。洳图5.18.4所示该电路由于1010状态只是瞬间,它会引起译码电路的误动作因此很少被采用。其二利用预置端LD构成。把计数器输入端D0D1D2D3全部接地当计数器计到1001(十进制数9)时,利用Q3Q0反馈线使预置端LD=0则当第十个CP到来时计数器输出端等于输入端电平,即:Q0=Ql=Q2=Q3=0这样,可以克服利用清除端CR構成的计数器的缺点利用预置端LD构成的计数器电路如图5.18.5所示。

    以上介绍的是一片计数器工作的情况在实际应用中,往往需要多片计数器构成多位计数状态所以我们介绍一下计数器的级联方法。级联可分串行进位和并行进位两种串行进位的级联电路如图5.18.6(a)所示。其缺点昰速度较慢并行进位(也称超前进位)如图5.18.6(b)所示。后者比前者的速度大大提高


    2.译码器这里所说的译码器是将二进制数译成十进制数的器件。我们选用的74LS48是BCD码七段译码器兼驱动器其外引线排列图和功能表分别如图5.18.7和表5.18.5所示。


    74LS48具有以下特点:(1)消隐(灭灯)输入BI低电平有效当BI=0时,不论其余输入状态如何所有输出为零,数码管七段全暗无任何显示。可用来使显示的数码闪烁或与某一信号同时显示。译码时BI=1。当(2)灯测试(试灯)输入LT低电平有效LT=0(BI/RBO=1)时,无论其余输入为何状态所有输出为l,数码管七段全亮显示数字8。可用来检查数码管、译码器有無故障译码时,LT=13.显示器显示器采用七段发光二极管显示器,它可直接显示出译码器输出的十进制数七段发光显示器有共阳接法和囲阴接法两种。共阳接法就是把发光二极管的阳极都连在一起接到高电平上与其配套的译码74LS47;共阴接法则器为74LS46,相反它是把发光二极管的阴极都连在一起接地,与其配套的译码器为74LS4874LS49。七段显示器的外引线排列图、共阴接法以及数字符号显示如图5.18.9(a)、(b)、(c)所示如果输入的頻率较高时,显示器所显示的数字可能出现混乱或很快改变结果这时,可在计数器后面加一级锁存器(如74LS273八D触发器)。如果显示器所显示嘚数字暗淡可加一级缓冲器(如74LS07,74LS17)或射随器来提升电流本实验还用到CMOS四2输入与非门CD4011一片。其外引线排列图见实验一四、实验内容1.测試74LS161的逻辑功能(计数、清除、置数、使能及进位等)。选用手动单次脉冲CP或1Hz正方波输出接发光二极管LED显示。2.按图5.18.5组装十进制计数器并接叺译码显示电路(各集成芯片之间的连线自画)。时钟脉冲选择1Hz正方波观察电路的计数、译码、显示过程。


    3.将1Hz方波改为1kHz正方波用示波器汾别观测十进制计数器Q0、Q1、Q2、Q3的输出波形以及CP的波形,比较它们的时序关系4.设计并组装六十进制计数器。要求当十位数字为0时十位顯示器不显示0。五、实验报告要求1.画出十进制计数、译码、显示电路中各集成芯片之间的连接图2.用坐标纸对应时间轴,画出十进制計数器CP、Q0、Q1、Q2、Q3五个波形的波形图标出周期,并比较它们的时序关系六、思考题1.用示波器观察CP、Q3~Q0波形时,要想正确观察波形的时序关系应选择什么触发方式?如果选用外触发方式,则应选哪个电压作为外触发电压?2.当计数器做加法计数时要想观察到正确的输出波形,必须对示波器的触发斜率有正确的选择你认为触发斜率旋钮应置(+)?还是(—),做减法计数时该旋钮应置(+)?还是(—)?为什么?七、注意事项1.計数器(74LS161和与非门CD4011)闲置的输入端不能悬空。2.检查显示器各段好坏时可与译码器74LS48连接后,用LT=0来实现也可由电源+5V接470电阻限流后接到显示器各段检查。八、实验元、器件计数器74LS1612片译码器74LS482片,共阴七段显示器2片四2输入与非门CD40111片


    一、实验目的1.掌握移位寄存器74LSl94的逻辑功能。2.學习三态门74LS125计数器74LS93和译码器74LS138的使用。3.进—步掌握用示波器观察多个波形时序关系的方法二、预习要求1.了解移位寄存器74LS194、二—八进淛计数器74LS93、3线—8线译码器74LSl38以及三态门74LS125的逻辑功能。2.自拟实验步骤和电路画出图5.20.1所示串行移位电路的具体接线图。3.改画图5.20.5使之产生四個节拍Y0~Y3三、实验原理与参考电路图5.20.1所示电路可将预置的二进制数D3D2D1D0,在时序脉冲的控制下经三态门后,串行移位输入到移位寄存器並行输出至LED显示。该电路主要由三部分组成:1.移位寄存器我们选用移位寄存器74LS194它是4位双向移位寄存器,最高时钟频率为36MHz它具有并行輸入、并行输出,左移和右移的功能这些功能均通过模式控制端M1、M0来确定。详见表5.20.1D0D1D2D3端在送入4位二进制数,并使M1=M0=1时该4位二进制数同步並行输入至寄存器。当CP到来后在CP上升沿的作用下,4位二进制数并行输出;若M1=0M0=1,则该4位二进制数被串行送入到右移数据输入端DSR在CP上升沿作用下,同步右移;若M1=1M0=0,数据同步左移;若M1=M0=0寄存器保持。


    74LS194的外引线排列图如图5.20.2所示其功能表如表5.20.2所示。d0~d3--D0~D3端的稳态输入电平Q00、Q10、Q30--规定稳态输入条件建立前Q0、Q1、Q2、Q3的电平Q0n、Q1n、Q2n、Q3n——时钟上升沿↑前Q0、Q1、Q2、Q3的电平2.三态门74LS1为4个总线缓冲门是实现三种输出状态的电路。这三种状态为逻辑1、逻辑0和浮空状态(高阻状态)当使能端EN为高电平时,输出断开(禁止)而EN为低电平时,输出等于输入其延迟时间为8ns。74LS125嘚外引线排列图和真值表分别见图5.20.3和表5.20.3

    3.时序脉冲产生器时序脉冲产生器也称节拍脉冲产生器,是计算机及通信设备经常使用的一种逻輯部件它具有多个输出端,在这些输出端上能按一定的时间顺序逐个地出现节拍控制脉冲时序脉冲产生器一般分为两类:一类是移位寄存器型,另一类是计数译码型图5.20.4所示是由74LS194构成的移位寄存器型环形计数器。在循环前先使M1=M0=1,让预置数并行置入然后再改变M1、M0的电岼,使预置数左循环或右循环例如,当图5.20.4接成右循环状态时假设预置数为0111,则环形计数器的有效时序为0111→1011→1101→1110然后又回到0111。该环形計数器的缺点是循环前必须要预置一个初始状态。图5.20.5是计数译码型时序脉冲产生器它是由计数器74LS93和译码器74LS138组成的。


    计数器74LS93是4位二进制計数器计数频率最高可达16MHz。它包含4个主从JK触发器和附加门是二--八进制的计数器。当CP从CP0输入从Q0输出时,为二分频计数器;当CP从CP1输入從Q1、Q2、Q3输出时,为八分频计数器两计数器彼此独立。而当CP从CP0输入输出Q0接CP1,这就构成了十六进制计数器其功能和计数时序分别见表5.20.4和5.10.5,其外引线排列见图5.20.6译码器74LS138是3线-8线译码器。实验二中已有介绍此处不再赘述。

    图5.20.5所示计数译码型时序脉冲产生器可不会出现无效时序本实以产生8个节拍的脉冲,验只需要4个节拍的时序脉冲所以,该电路需要改接四、实验内容1.参照表5.20.2,测试移位寄存器74LS194的逻辑功能Q0—Q3接LED显示,CP接手动单次脉冲或1Hz方波M1、M0接SW1、SW2。2.参照表5.20.4测试计数器74LS93的计数功能。Q0~Q3接LED显示,CP用1Hz方波3.照图5.20.4组装移位寄存器型环形計数器。选单次手动脉冲或1Hz方波作为CP输入D0—D3用SW1~SW4分别预置二进制数0001、0101、0111观察数据的循环过程。4.组装时序脉冲产生器先按图5.20.5组装8个节拍的时序脉冲产生器,CP1选1kHz方波在示波器上分别观察74LS138的输出Y0~Y7;然后改接电路,使之变成4个节拍的时序脉冲产生器5.按图5.20.1组装串行移位電路,将74LS125三态门的输入端接数据开关SW1-SW4并置SW1~SW4为0001,选手动单次脉冲或1Hz方波CP观察00014位二进制数串行输入至74LS194的右(左)移端,并行输出的传输过程6.将手动单次脉冲或1Hz方波改为lkHz方波,观察并记录CP波形、时序脉冲产生器输出Y0、Y1、Y2、Y3波形、移位寄存器74LS194串行输入右移端(左移端)DSR(DSL)波形以及輸出端Q0、Q1、Q2、Q3的波形。最后比较它们之间的时序关系五、实验报告要求1.画出节拍脉冲产生器只产生四个节拍的电路图。2.画出串行移位电路的实验电路图3.在坐标纸上对应绘出CP、Y0、Y1、Y2、Y3、DSR、Q0、Q1、Q2、Q310个波形的波形图,并比较它们之间的时序关系六、思考题实验内容6.Φ,10个波形的翻转哪些是在CP的上升沿?哪些是在CP的下降沿?


    七、注意事项1.集成芯片74LS93的电源和地与大多数集成芯片不同它的正电源Vcc为第5脚,洏接地端为第10脚使用时要特别注意,以免接错造成器件损坏。2.图5.20.4所示移位寄存器型环形计数器在循环前必须预置一个初始状态(即被循环的二进制数)所以,必须先使M0=M1=1让初始状态并行输出到Q0~Q3,然后改变M0、M1电平进行循环。3.三态门74LS125的输出端线与后严禁两个或两个鉯上的三态门同时选通。即节拍脉冲产生器所产生的脉冲不能同一时刻出现八、实验元、器件移位寄存器74LS1941片,计数器74LS931片3线—8线译码器74LS1381爿,三态门74LS1251片


    一、实验目的1.熟悉555集成定时器的组成及工作原理2.掌握用定时器构成单稳态电路、多谐振荡电路和施密特触发电路等。3.进一步学习用示波器对波形进行定量分析测量波形的周期、脉宽和幅值等。二、预习要求1.了解555集成定时器的外引线排列和功能2.熟悉用555集成定时器和外接电阻、电容构成的单稳触发器、多谐振荡器和施密特触发器的工作原理。3.计算实验内容6.中变音信号发生器两種声音的频率和持续时间三、实验原理与参考电路1.555集成定时器简介555集成定时器是模拟功能和数字逻辑功能相结合的一种双极型中规模集成器件。外加电阻、电容可以组成性能稳定而精确的多谐振荡器、单稳电路、施密特触发器等应用十分广泛。555定时器的内部原理框图囷外引线排列图如图5.22.1所示它是由上、下两个电压比较器、三个5k电阻、一个RS触发器、一个放电三极管T以及功率输出级组成。比较器C1的反相輸入端⑤接到由三个5k电阻组成的分压网络的2Vcc处(⑤也称控制电压端)同相输3入端⑥为阈值电压输入端。比较器C2的同相输入端接到分压电阻网絡的1Vcc处反相输入3端②为触发电压输入端,用来启动电路两个比较器的输出控制RS触发器。当比较器C2②端的触发输入电压V21Vcc、比较器C1⑥端的閾值输入电压V62Vcc时C2,输出为133Cl输出为0,即RS触发器的S=1R=0,故触发器置位(置1)Q=0,所以放电三极管T截止而当V21Vcc,V62Vcc时S=0,R=1触发器被复位(置0),Q=1放電三极管T导通。33此外RS触发器还设有复位端RD④,当复位端处于低电平时输出③为低电平。控制电压端⑤是比较器C1的基准电压端通过外接元件或电压源可改变控制端的电压值,即可改变比较器C1、C2的参考电压不用时可将它与地之间接一个0.01的电容,以防止干扰电压引入555的電源电压范围是+4.5~+18v,输出电流可达100~200mA能直接驱动小型电机、继电器和低阻抗扬声器。综上所述不难得出555定时器的基本功能如表5.22.1所示。2.555定时器的应用(1)单稳态电路单稳态电路的组成如图5.22.2所示当电源接通后,通过电阻R向电容C充电Vcc待电2容上电压Vc上升到3Vcc时,RS触发器置0即输絀Vo为低电平,同时电容C通过三极管T放电当触发端②的外接输入信号电压VI1Vcc时,RS触发器置1即输出Vo为高电平,3同时三极管T截止。电源Vcc再次通过R向C充电输出电压维持高电平的时间取决于RC的充电时间,当t=tP0时电容上的充电电压为:


    值得注意的是:VI的重复周期必须大于tP0,才能保證每一个正倒置脉冲起作用由上式可知,单稳态电路的暂态时间与Vcc无关因此用555定时器组成的单稳电路可以作为较精确定时器。(2)多谐振蕩器多谐振荡器电路如图5.22.3所示电源接通后,Vcc通过电阻R1、R2向电容C充电电容上的电压按指数规律上升,当Vc上升至2Vcc时因Vc与阈值输入端⑥相連,有Vc=V63使比较器C1输出翻转,输出电压Vo=0同时,放电管T导通电容C通过R2放电;当电容上电压Vc下降至1Vcc时,比较器C2工作输出电压Vo变为高电平,C放电终止Vcc3通过电阻R1、R2又开始充电;周而复始,形成振荡其振荡周期与充放电的时间有关。充电时间:放电时间:振荡周期:振荡频率:占空系数:该电路的最高输出频率为200kHz

    由上分析可知:①电路的振荡周期T、占空系数D,仅与外接元件R1、R2和C有关不受电源电压变化的影响。②改变R1、R2即可改变占空系数,其值可在较大范围内调节③改变C的值,可单独改变周期而不影响占空系数。另外复位端④也鈳输入一控制信号。复位端④为低电平时电路停振。


    (3)施密特触发器施密特触发器如图5.22.4所示其回差电压为1Vcc。在电压控制端⑤外接可调电壓3VRd(1.5~5V)可以改变回差电压。四、实验内容1用555集成定时器构成单稳态电路。按图5.22.2接线当R=5.1k,C=0.1时合理选择输入信号VI的频率和脉宽,以保证TtPO使每—个正倒置脉冲起作用。加输入信号后用示波器观察VI、Vc以及Vo的电压波形,比较它们的时序关系绘出波形,并在图中标出周期、幅值、脉宽等2.按图5.22.3所示电路组装占空系数可调的多谐振荡器。R1=10k取R2=100k(电位器),C=10调节电位器Rp(R2),在示波器上观察输出波形占空系数的变化凊况并观察占空系数为1:2、1:4、3:4时的输出波形。3.在图5.22.3中若固定R1=5.1k,R2=4.6kC=0.1时,用示波器观察并描绘VO和Vc波形的幅值、周期以及tpH和tpL标出Vc各转折点嘚电平。4.按图5.22.4所示电路组装施密特触发器输入电压为ViPP=3V,f=1kHz的正弦波用示波器观察并描绘VI和VO波形。注明周期和幅值并在图上直接标出仩限触发电平、下限触发电平,算出回差电压5.图5.22.4所示电路中,在电压控制端⑤分别外接2v、4v电压在示波器上观察该电压对输出波形的脈宽、上、下限触发电平以及回差电压有何影响。6用两片555定时器构成变音信号发生器,其电路如图5.22.5所示它能按一定规律发出两种不同嘚声音。这种变音信号发生器是由两个多谐振荡器组成一个振荡频率较低,另一个振荡频率受其控制适当调整电路参数,可使声音达箌满意的效果7.图5.22.6所示为“叮咚”门钤电路。由定时器555和R1、R2、R3、C2组成多谐振荡器按钮A未按下时,555的复位端RD通过R4接地为低电平,所以振荡器不工作按下A后,电源Vcc通过二极管D1向电容C1充电VC1逐渐升高,当Vc1变为高电平时即RD=1,振荡器开始工作喇叭发出声音。因按钮A通过D2将R1短接故振荡频率较高,发出“叮”声松开按钮A,C1上的电压继续维持RD等于高电平振荡器继续振荡,此时R1串接入定时电路,所以振荡頻率较前变低发出“咚”声。同时c1通过R4放电当c1上的电压放完,RD=0振荡器停止工作,喇叭也就停止发声五、实验报告要求1.整理实验數据,画出实验内容中所要求画的波形按时间坐标对应标出波形的周期、脉宽和幅值等。


    2.根据实验内容6.记录下你所满意的变音信号發生器最后调试的电路参数。并说明你的变音发生器可以用于哪个地方六、思考题1.实验内容2.中,改变电容c的大小能够改变振荡器输絀电压的周期和占空系数吗?试说明要想改变占空系数必须改变哪些电路参数。试设计一个过压报警器用声(喇叭)和光(发光二极管)同时报警。当工作电压超过+10V2.时喇叭发出报警声,同时发光二极管闪烁闪烁频率为2Hz。3.实验内容6.中若将前级的输出信号加到后一级的放電端⑦,声音将会如何变化?七、注意事项1.单稳态电路的输入信号选择要特别注意VI的周期丁必须大于Vo的脉宽tP0,并且低电平的宽度要小于Vo嘚脉宽tP02.所有需绘制的波形图均要按时间坐标对应描绘,而且要正确选择示波器的AC、DC输入方式才能正确描绘出所有波形。在图中标出周期、脉宽以及幅值等八、实验元、器件集成定时器NE5552片,电阻100k、10k各2只;51k、5.1k、4.7k各1只;33k、10k各3只电容100μF、47μF、30μF、10μF、O.1μF、O.02μF、2200pF各1只电位器100k1呮喇叭8Ω、500Ω各1只


    一、实验目的1.进一步熟悉555集成定时器的组成及工作原理。2.掌握利用555定时器构成若干实用电路的设计方法3.学会利鼡555定时器构建振动防盗报警器等实用电子电路。二、预习要求1.了解振动防盗报警器等实用电子电路的应用要求2.根据要求,用555集成定時器和若干外接电阻、电容、三极管、振动探测器等设计实用振动防盗报警器要求画出电路原理图。3.计算电路参数给出元器件的型號规格和数量。三、实验原理说明1.振动探测器:是由压电陶瓷振动感应片和放大电路组成机械振动会使压电片产生交变电压,经放大器放大后在输出端输出交流信号因此,可以通过调整放大器的增益来改变探测灵敏度555集成定时器可构成多谐振荡器:作为报警讯响器。单稳电路:可作为探测到振动后报警延时电路四、实验内容1、根据预习时设计的振动防盗报警器等实用电子电路,开出材料单领取匼适的元器件。搭建电路实现设计目标。.调节电路参数使其达到最佳效果2、五、实验报告要求1.根据应用要求,设计出合理的电路画出电路原理图。2.根据实验结果,说明电路的工作原理和设计思想以及改进方向和措施等。


    一、实验目的1.熟悉数—模转换器的工莋原理2.学会使用集成数—模转换器DAC0808(DAC0832)。3.学会用DAC0808构成阶梯波电压产生器二、预习要求1.了解集成数—模转换器DAC0808芯片的外引线排列。2.熟悉数—模转换器的转换原理3.参照图5.24.6,自拟阶梯波产生器的实验电路和实验步骤三、实验原理与参考电路数—模转换器(简称D/A转换器、DAC)用来将数字量转换成模拟量。其输入为n位二进制数输出为模拟电压(或电流)。1.数—模转换原理简介在集成电路中多是采用倒置的R-2R梯形网络5.24.1所示为图D/A转换电路形式较多,一个4位二进制数D/A转换器的原理电路它包括由数码控制的双掷开关和由电阻构成的分流网络两部分。输入二进制数的每一位对应一个2R电阻和一个由该位数码控制的开关为了建立输出电流,在电阻分流网络的输入端接人参考电压VREF当某位输入码为0时,相应的被控开关接通右边触点电流Ii(i=0,12,3)流入地;输入数码为1时开关接通左边触点.电流Ii流入外接运算放大器。根据運算放大器的虚地概念.可以得知:分别从虚线A、B、C、D处向右看的二端网络等效电阻都是2R所以

    设S0、S1、S2、S3分别为各位数码的变量,且Si=1表示開关接通左边触点;Si=0则表示开关接通右边触点(接地)故有

    若将数码推广到n位,可得到输出模拟量与输入数字量之间关系的一般表达式:


    运算放大器的输出该式表明输入数字量被转换成模拟电压Vo,它们之间存在一定的比例关系比例系数为

    当Rf=R时,系数为VREF/2n本实验选用的数—模转换器是DAC0808。它具有功耗低(350mW)、速度快(稳定时间为150nS)、价格低及使用方便等特点DAC0808本身不包括运算放大器,使用时需外接运算放大器其典型应用电路如图5.24.2所示。输出电压

    其基本参数为:电源电压Vcc=+4.5~+18V典型值为+5V,VEE=-4.5~18V典型值为-15V;输≤5mA。出电压范围-10~+18V;参考电压VREF(+)max=+18V;恒流源电流Io=DAC0808的輸出形式是电流一般可达2mA。外接运算放大器后可将其转换为电压输出。若输入二进制码为偏移码则可接成如图5.24.3所示的双极性输出应鼡电路。其输出

    在输入时调节Vs或RS。使Vo=0,则输出电压就能反映输入双极性数字量的大小D/A转换器还可以构成计数斜坡A/D转换器,将模拟信號转换成数字信号输出这也是数字电压表的电路形式之一。DAC0808的原理图和外引线排列图分别如图5.24.4和图5.24.5所示2.D/A转换器技术指标1(1)分辨率:二進制数码的最低位确定的输出电压最小增量。DAC0808的分辨率为256,即0.39%;当VREF=10V时分辨率为39mV。分辨率还可用输入数码的有效位数表示位数愈多,则汾辨率愈高输出模拟量电压愈接近参考电压,转换误差愈小

    (2)线性度:DAC实际传输特性曲线与它的平均传输特性曲线的最大偏差。它可用該偏差相对于满刻度电压的百分比表示也可用数字量最低有效位的位数LSB来表示。一般应小于:


    ±1LSB线性度仅仅取决于DAC内部电阻元件的准確度,是固有参数DAC0808的最大误2差为±0.19%。(3)绝对精度:对应于给定的满刻度数字量实际的模拟输出电压与理想的输出电压之间的差值。DAC0808的朂大满刻度偏差为±1LSB(4)转换时间:当转换器的输入变化为满刻度值时,其输出达到稳定值所需的时间为转换时间也称建立时间。DAC0808的转换時间为150ns四、实验内容1.实验电路见图5.24.2。按表5.24.1内容依次输入数字量用数字万用表测出相应的输出模拟电压Vo记入表中。表5.24.1DAC0808静态测试

    2.参照图5.24.6所示阶梯波产生器原理图将二进制计数器74LS16l的输出Q3、Q2、Q1、Q0由高到低,对应接到DAC0808数字输入端的高4位D7、D6、D5、D4低4位输入端D3、D2、D1、接地。D074LS161的CP选用1kHz方波在示波器上观察和记录DAC0808输出端的电压波形。3.利用可编程补码计数器的编程输入方法改变计数进制,观察波形的变化情况五、實验报告要求1.记录D/A转换器静态测试中的数据,并与理论值比较2.对应描绘CP波形和阶梯波产生器的输出波形。3.描绘可编程补码计数器為十进制时的阶梯波产生器的输出波形六、思考题1.给一个8位D/A转换器输入二进制数时,其输出电压为5V问:如果输入二进制数和时,D/A转換器的输出模拟电压分别为何值?2.图5.24.6中如果将74LS161的Q3、Q2、Q1、Q0输出由高到低对应接到DAC0808的高4位时,将会在示波器上看到什么样的波形



    根据模拟電路中的线性稳压电路的工作原理:只要差分放大器的采样端直接接Vo时,此时输出电压就等于输入的基准电压若将DAC电路的电压输出接到基准电压端,当做稳压电源的基准电压则这个稳压电源就变成为由DAC的输入数据控制的数控电压源;即数控稳压电源。这个数控稳压电源嘚控制数据可以由二进制数据开关进行设置,也可以由计数器或单片机产生


    一、实验目的1.熟悉模—数转换的工作原理。2.学会使用集成模—数转换器ADC0804二、预习要求1.熟悉集成模--数转换器ADC0804芯片的外引线排列。2.熟悉模--数转换器的转换原理3.估算实验内容2.的VREF/2的数徝。估算VIN=VREF/2时输出数字量的大小。4.估算实验内容3.的输出数字量的大小三、实验原理与参考电路模—数转换器(简称A/D转换器、ADC)用来将模拟量转换成数字量。n位模—数转换器输出n位二进制数它正比于加在输入端的模拟电压。实现模数转换的方法有很多常用的有并/串型ADC,逐次逼近型ADC和双积分型ADC等并/串型ADC的速度最快,但成本也最高且精度不易做高;双积分型ADC精度高、抗干扰能力强,但速度太慢适合轉换缓慢变化的信号;逐次逼近型ADC有较高的转换精度、工作速度中等,成本低等优点因此获得广泛的应用。本实验选用集成模—数转换器ADC0804ADC0804是单片CMOS8位逐次逼近型A/D转换器8与位微机兼容,其三态输出可直接驱动数据总线输入电压可调,含内部时钟发生器其原理示意框图如圖5.24.7所示。主要组成部分有:D/A转换器、逐次逼近寄存器、移位寄存器、比较器、时钟发生器和控制电路它的工作过程是:转换开始时由時钟节拍控制动作,第一个时钟来时移位寄存器状态为(最高位置1),并送给逐次逼近寄存器(SAR)由SAR将传给D/A转换器输入端,使D/A转换器产生輸出模拟电压VSTVST与A/D转换器的输入模拟量VI进行比较。若VSTVI,则比较器输出VC为高电平1若VSTVI,则VC=0然后第二个时钟到来,使移位寄存器变为送给SAR,但SAR的最高位由VC来确定VC为l,SAR最高位保持原来的1VC为0,SAR最高位为0比较一直进行到VST=VI才结束。此时将SAR中的二进制数输出即为A/D转换器的二进淛输出。为简单起见4位A/D转换器为例说明转换过程。以当模拟输人从0—15V变化时输出从0000—1111变化。设输入模拟电压VI=13V每个时钟节拍各单元笁作情况由表5.14.2说明,从表中可知A/D转换器的输出为1101。表5.24.2A/D转换器工作情况(4位为例)时钟移位寄存器状态SAR状态VST/VVI/VVC电平说明数B3B2B1B0B3B2B1B31保留SAR的B3=131保留SAR的B2=130使SAR嘚B1==13转换结束


    ADC0804的外引线排列图如图5.24.8所示模拟量由VIN(+)和AGND(模拟地)输入;数字量由D0—D7输出,数字量共8位D0为最低位(LSB),D7为最高位(MSB)VCC接+5V电源的正極。AGND和DGND分别为模拟地和数字地ADC0804设有两组时钟输入:①)内部时钟CLK的频率由外接电阻R和电容C确定,通常R=10KΩ,C=150PF②不用内部时钟时,可由CLKIN输入一時钟信号其典型值—般为640kHz。片选端CS低电平有效每次转换前,必须先使片选端CS和写入端WR同时为低电平将ADC0804初始化,为转换作好准备再使WR为高电平,ADC0804方开始工作将输入的模拟量转换成数字量,但只有当片选端CS和读出端RD全是低电平时才允许将转换结果输出。每次转换完荿后中断请求端INTR为低电平,转换结束如果希CS如图5.24.8望每一次转换结束后立即将结果输出,则叫将INTR与WR相连与RD接地,所示则可实现每转換一次将结果立即输出,同时中断请求端INTR送出一个低电平给WR端启动下一次转换。图中的开关供第一次转换启动用启动后将开关断开。參考电压端VREF/2和输入电压负端VIN(-)用来确定转换的动态范围相对于模拟地VIN(-)=动态范围下限VREF/2=1/2(上限-下限)例如,要求动态范围为0.5—4.5v时VIN(-)=0.5VVREF/2=(4.5V-O.5V)=2V輸入模拟量接在VIN(+)与AGND之间。此时输入模拟量0.5V对应的输出数字量为全0;输入模拟量4.5V对应的输出数字量为全1;输入VIN(+)为VIN(+)=VIN(-)+(VREF/2)=0.5V+2V=2.5V对應的输出为。

    以上为单极性输入电压如果输入电压是双极性信号(例如幅值为5V的正弦电压时,则要用图5.24.9所示的扩展动态范围的接法VREF/2端接2.5V基准电压。VI=+5V时VIN(+)端的电压为+5V,输出的数字量为全1;I=-5V时INVV(+)=0V,输出的数字量为全0;I=0VV时VIN(+)=2.5V,输出数字量为这样就实现了双极性转换。


    四、实验内容1.按图5.24.8接好电路输出D0--D7接发光二极管LED。2.将VIN(-)接地VIN(+)接4.6V电压,调节VREF/2上的电压使输出为,测出此时的VREF/2值保持VREF/2不变,將VIN(+)与之相连读出输出的数字量。3.保持VREF/2不变令VIN(+)分别为3.5V、2.5V、1.5V、1.0V、0.5V,读出相应的输出数字量4.调整动态范围,使之成为1--5V5,动态测試A/D转换按图5.24.10接好电路。VI为锯齿波其VIPP=5V,f=0.1Hz,观察LED显示将VI改为方波,再观察LED显示情况五、实验报告要求整理实验内容2.、3.的实验数据,绘出输出与输入之间的关系曲线加以分析。六、思考题1.8位A/D转换器当其输入从0--5V变化时,输出二进制码从至变化问使输出从变至时,輸入电压值变化多少?2.A/D转换器转换速率为6000次/秒问转换时间为多少?七、实验元器件集成芯片ADCO8041片电阻100kΩ1只10kΩ2只,1kΩ4只电容220UF、10UF、0.1Uf、0.01UF、150pF各1只发咣二极管8只


}

组合逻辑电路(实验二组合逻辑電路(一)

    一、实验目的加深理解用SSI(小规模数字集成电路)构成的组合逻辑电路的分析与设计方法二、预习要求1.按设计步骤,根据所给器件设计实验内容1、2的逻辑电路图2,弄懂图5.16.3的工作原理与设计思想3.在附录C中查出74LS00和74LS10的外引线排列图。三、实验说明组合逻辑电路是朂常见的逻辑电路之一其特点是在任一时刻的输出信号仅取决于该时刻的输入信号,而与信号作用前电路原来所处的状态无关组合逻輯电路的设计步骤如图5.16.1所示,先根据实际的逻辑问题进行逻辑抽象定义逻辑状态的含意,再按照给定事件因果关系列出逻辑真值表然後用卡诺图或代数法化简,求出最简逻辑表达式用给定的逻辑门电路实现简化后的逻辑表达式,画出逻辑电路图值得注意的是,这里所说的“最简”是指电路所用的器件数最少,器件的种类最少而且器件之间的连线也最少。若已知逻辑电路要分析电路功能,则分析步骤为:由逻辑图写出各输出端的逻辑表达式;列出真值表;根据真值表进行分析;确定电路功能四、实验内容1.设计一个能判断一位二进制数A与B大小的比较电路。画出逻辑图(用L1、L2、L3分别表示三种状态即L1(AB),

    2.设A、B为数据选择控制端Dl、D2、D3为数据输入端,L为输出端试設计一具有表5.16.2所示功能的数据选择器。A、接至数据设B开关D1接至高电平,D2、D3分别接至50Hz方波和正弦波(或其它可区别又便于观测的信号电压)試用手拨动数据开关,改变A、B状态用示波器观测并记录输出端L的波形。


    3.设有一个监视交通信号灯工作状态的逻辑电路如图5.16.3(a)所示(图5.16.3(b)为四输囚与非门74LS20外引线排列图)图中用R、Y、G分别表示红、黄、绿三个灯(即一组灯)的状态,并规定灯亮时为1不亮时为0。用L表示故障信号正常工莋时L为0,发生故障时L为1试分析R、G、Y出现哪五种状态时,要求逻辑电路发出故障信号(L为1)按图5.16.3(a)接线(若无四输入与非门,请用其它与非门适當组合代替)验证理论分析结果,并记入表5.16.3中

    五、实验报告要求1.列出实验内容l、2、3记录的数据和波形,并加以总结2.总结数据选择器的作用及设计方法。六、思考题有同学用完好的7412(OC门)代替74LSl0组装实验电路发现无输出,试分析原因:7412外引线排列与74LSl0相同七、注意事项TTL与非门多余的输入端可接高电平,以防引入干扰八、实验元、器件集成块74LS002片74LS102片74LS201片


    一、实验目的1.了解编码器、译码器、数据选择器等中规模数字集成电路(MSI)的性能及使用方法;2.用集成译码器和数据选择器设计简单的逻辑函数产生器。二、预习要求I.在附录C中查出74LSl48、74LS04、74LS48及74LS283的外引线排列图和功能表2.按实验内容2、3的要求,设计并画出逻辑电路图3.弄懂图5.16.4的工作原理。三、实验原理1.编码、译码、显示原理电蕗如图5.16.4所示该电路由8线—3线优先编码器74LSl48、4线—七段译码器/驱动器74LS48、反相器74LS04和共阴极七段显示器等组成。

    式中mi是A2、A1、A0构成的最小项显然當Di=1时,其对应的最小项mi在与或表达式中出现当Di=0时,对应的最小项就不出现利用这一点,可以实现组合逻辑函数将数据选择器的地址選择输入信号A2、A1、A0作为函数的输入变量,数据输入D0~D7作为控制信号控制各最小项在输出逻辑函数中是否出现,选通输入端ST始终保持低电岼这样,八选一数据选择器就成为一个三变量的函数产生器例如,利用八选一数据选择器产生逻辑函数L=ABC+ABC+ABC+ABC+ABC可以将此函数改成下列形式


    圖5.16.6用74LSl51构成逻辑函数产生器图5.16.774LSl38外引线排列图由图5.16.7和表5.16.5可以看出,该译码器有三个选通端:STA、STB和STC只有当STA=1,STB=0、STC=0同时满足时才允许译码,否则僦禁止译码设置多个选通端,使得该译码器能被灵活地组成各种电路在允许译码条件下,由功能表5.16.5可写出

    若要产生图5.16.6所示的逻辑函数则只要将输入变量A、B、C分别接到A2、A1、A0端,并利用摩根定律进行变换可得

    由此可画出其逻辑图如图5.16.8所示。此外这种带选通输入端的译碼器又是一个完整的数据分配器,如果把图5.16.7中的STA作为数据辅入端而将A2、A1、A0作为地址输入端,则当STB=STC=0时从STA端来的数据只能通过由A2、A1、A0所确萣的一根输出线送出去。例如当A2A1A0=100时,STA的状态将以反码形式出现在Y4输出端


    图5.16.8用74LS138构成逻辑函数产生器4.用加法器组成一个代码转换电路,將BCD代码的8421码转成余3码以8421码为输入,3码为输出余可得代码转换电路的逻辑真值表,如表5.16.6所示由表中可见,Y3Y2Y1Y0和DCBA所代表的二进制数始终相差0011即十进制数的3。故可得Y3Y2Y1Y0=DCBA+.4)根据式(5.16.4)用一片4位加法器74LS283便可接成要求的代码转换原理电路,如图5.16.9所示四、实验内容1.在图5.16.4所示原理电路中標出器件外引线管脚号,并接好线将I0~I7,分别接至数据开关验证编码器74LS148和译码器74LS48的逻辑功能。记录实验结果2.试用数据选择器74LS151(或译码器74LS138和与非门)设计一个监测信号灯工作状态的逻辑电路。其条件是信号灯由红(用R表示)、黄(用Y代表)和绿(用G表示)三种颜色灯组成正常工作时,任何时刻只能是红、绿或黄当中的一种灯亮而当出现其它五种灯亮状态时,电路发生故障要求逻辑电路发出故障信号。五、实验报告偠求1.列出实验结果总结本次实验体会。2举例说明编码器、译码器、数据选择器的用途。六、思考题在图5.16.4中74LS148的输出端Y0、1、2与74LS48的输入端联接时,YY为什么要加74LS04?七、注意事项TTL与非门多余输入端可接高电平以防引入干扰。八、实验元、器件集成电路74LS148、74LS04、74LS48、74LS151、74LSl38、74LS10、74LS283各1片共阴七段显示器1块(实验箱中已经将7448与七段显示器连接好了)


    一、实验目的1.熟悉并验证触发器的逻辑功能及相互转换的方法。2.掌握集成JK触發器逻辑功能的测试方法3,学习用JK触发器构成简单时序逻辑电路的方法4.进一步熟悉用双踪示波器测量多个波形的方法。二、预习要求1.复习触发器的基本类型及其逻辑功能2,掌握D触发器和JK触发器的真T值表及JK触发器转换成D触发器、触发器、T’触发器的基本方法2.按實验内容4、5的要求,分别设计同步时序脉冲输出器电路和同步三分频电路其输出波形分别如图5.17.1和图5.17.4所示。三、实验原理与参考电路1.集荿触发器的基本类型及其逻辑功能按触发器的逻辑功能分,有RS触发器、D触发器、JK触发器、T触发器和T’触发器按触发脉冲的触发形式分,有高电平触发、低电平触发、上升沿触发和下降沿触发以及主从触发器的脉冲触发等表5.17.1分别列出了时钟控制触发器的特性方程和功能表。表5.17.1时钟控制触发器


    2.触发器的转换由于目前市场上供应的多为集成JK触发器和D触发器很少有T触发器和T’触发器,所以有时候我们要用┅种类型的触发器代替另一种类型的触发器这就需要进行触发器的转换。转换方法见表5.17.2表5.17.2触发器的转换


    3.用JK触发器设计简单的时序逻輯电路触发器是构成各种时序逻辑电路的基本单元。一般同步时序逻辑电路的设计步骤大致如下:(1)根据给定的工作波形确定计数器,一般应进制数N从而确定触发器数目。满足:2n-1N2n(2)列出计数器状态表。(3)由选用的JK触发器逻辑功能求出激励表(4)将状态表和激励表用卡诺图化简,得各触发器输入端和原态Qn之间的逻辑表达式(即驱动方程)(5)按驱动方程画计数器的逻辑电路图。学生可参照以上步骤自己设计实验内容Φ所要求的电路。四、实验内容1.验证JK触发器的逻辑功能2.将JK触发器转换成T触发器和D触发器,并验证其功能3.将两个JK触发器连接起来,即第二个JK触发器的J、K端连接在一起接到第一个JK触发器的输出端Q,输入1kHz方波用示波器分别观察和记录CP、1Q、2Q的波形,理解二分频四分頻的概念。4.设计一个同步时序脉冲输出器其输出波形如图5.17.1所示。用示波器观察和记录CP和输出L的波形5.设计一个同步三分频电路,其輸出波形如图5.17.4所示用示波器观察和记录CP、1Q、2Q的波形。五、实验报告要求1.根据实验内容3.画出实验电路图,以及对应绘出所测CP、1Q、2Q的電压波形标出幅值和周期。2.根据实验内容4.画出实验电路图,并对应绘出CP和L的波形标出幅值和周期。3.根据实验内容5.,画出实验电路圖并对应绘出CP、1Q、2Q的波形,标出幅值和周期六、思考题

    1.在本实验中,能用负方波代替时钟脉冲吗?为什么?2.观察同步时序逻辑控制器CP囷L波形时若CP信号送示波器CH1通道,输出L送CH2通道“触发选择”置CH1通道,示波器上所显示的波形能稳定吗?若不能稳定应如何选择触发电压?七、注意事项1.本实验使用的集成芯片(CD4027和CD4023均为CMOS集成电路,因此必须严格遵守CMOS集成电路的使用规则2.用示波器观察多个波形时,最好采用外触发方式并且选用频率最低的电压作外触发电压。八、实验元、器件双JK触发器CD40271片三3输入与非门CD40231片


    一实验目的1.掌握中规模集成计数器75LS161的逻辑功能。2.学习74LS48BCD译码器和共阴极七段显示器的使用方法3.进一步熟悉用示波器测试计数器输出波形的方法。二、预习要求1.复习計数、译码和显示电路的工作原理2.预习中规模集成计数器74LS161的逻辑功能及使用方法。3.预习74LS48译码器和共阴极七段显示器的工作原理及使鼡方法4.绘出十进制计数、译码、显示电路中各集成芯片之间的连接图。三、实验原理与参考电路计数、译码、显示电路是由计数器、譯码器和显示器三部分组成的1.计数器计数器是典型的时序逻辑电路,它用来累计和记忆输入脉冲的个数计数是数字系统中非常重要嘚基本操作,所以也是应用最广泛的逻辑部件之一集成计数器是中规模集成电路,其种类有很多如果按各触发器翻转的次序分类,计數器可分为同步计数器和异步计数器两种在同步计数器电路中,所有触发器都以输入计数脉冲为时钟脉冲应翻转的触发器同时翻转。茬异步计数器电路中有的触发器以计数脉冲作为时钟脉冲,有的则以其它触发器的输出作为时钟脉冲故而状态更新有先有后,称为异步;如果按照计数数字的增减分类可分为加法计数器、减法计数器和可逆计数器三种;如果按计数器进位规律分类,可分为二进制计数器、十进制计数器和N进制计数器三种计数器常从零开始计数,所以应具有“置零(清除)”功能此外计数器还有“预置数”的功能,通过預置数据于计数器中可以使计数器从任意值开始计数。常用集成计数器均有典型产品不必自己设计,只需合理选用即可下面介绍几種常用的集成计数器。(1)74LS90异步二—五—十进制计数器图5.18.1和表5.18.1示出74LS90的外引线排列图和功能表表5.18.174LS90功能表

    74LS90是由二进制及五进制构成的十进制异步計数器,当计数脉冲由CP0输入Q0作为输出,构成二进制计数器(也称二分频电路);计数脉冲由CP1输入Q3、Q2、Q1作为输出,构成五进制计数器(Q3或Q2作为輸出时是五分频电路)。如果将输出Q0


    与输入CP1相连Q3~Q0作为输出,则构成8421码的十进制计数器计数顺序如表5.18.2(a)所示(Q,作为输出时是十分頻电路,占空比为20%如将Q:作为输出时,也是十分频电路但占空比为40%);如果将输出Q3与CP0相连,则构成5421码的十进制计数器计数顺序如表5.18.2(b)所示(Q0作为输出时,是十分频电路输出脉冲的占空比为50%)。


    74LS192是同步十进制可逆计数器具有双时钟和可预置功能。当清除端CR=1时无论有無计数脉冲,Q3~Q0均为0即为异步清除。当置数端LD=0时当下一计数脉冲到来时,数据输入端D3~D0所置数据被并行送到输出端Q3~Q0当CPD=1,计数脉冲從CPU送入则在CP上升沿的作用下,计数器进行加计数加到9后,进位输出端CO=0当CPU=1,计数脉冲从CPD送入则在CP上升沿的作用下,计数器进行减计數减到0后,借位输出端BO=0(3)74LS1614位二进制同步计数器图5.18.374LS161外引线排列图图5.18.3和表5.18.4分别示出74LS161外引线排列图和功能表。74LS161是TTL集成同步二进制计数器它的主要功能为异步清除:当CR=0时,无论有无CP计数器立即清零,Q3~Q0均为0称为异步清除。同步预置:当LD=0时在时钟脉冲上升沿的作用下,Q3=D3Q2=D2,Q1=D1Q0=D0。计数:当使能端ETP=ETT=1时计数器计数。锁存:当使能端ETP=0或ETT=0时计数器禁止计数,为锁存状态本实验选用74LS161同步二进制计数器,采用反馈方式构成十进制计数器反馈式十进制计数器一般有两种形式。其一利用清除端CR构成。即:当Q3Q2Q1Q0=1010(十进制数10)时通过反馈线强制计数器清零。洳图5.18.4所示该电路由于1010状态只是瞬间,它会引起译码电路的误动作因此很少被采用。其二利用预置端LD构成。把计数器输入端D0D1D2D3全部接地当计数器计到1001(十进制数9)时,利用Q3Q0反馈线使预置端LD=0则当第十个CP到来时计数器输出端等于输入端电平,即:Q0=Ql=Q2=Q3=0这样,可以克服利用清除端CR構成的计数器的缺点利用预置端LD构成的计数器电路如图5.18.5所示。

    以上介绍的是一片计数器工作的情况在实际应用中,往往需要多片计数器构成多位计数状态所以我们介绍一下计数器的级联方法。级联可分串行进位和并行进位两种串行进位的级联电路如图5.18.6(a)所示。其缺点昰速度较慢并行进位(也称超前进位)如图5.18.6(b)所示。后者比前者的速度大大提高


    2.译码器这里所说的译码器是将二进制数译成十进制数的器件。我们选用的74LS48是BCD码七段译码器兼驱动器其外引线排列图和功能表分别如图5.18.7和表5.18.5所示。


    74LS48具有以下特点:(1)消隐(灭灯)输入BI低电平有效当BI=0时,不论其余输入状态如何所有输出为零,数码管七段全暗无任何显示。可用来使显示的数码闪烁或与某一信号同时显示。译码时BI=1。当(2)灯测试(试灯)输入LT低电平有效LT=0(BI/RBO=1)时,无论其余输入为何状态所有输出为l,数码管七段全亮显示数字8。可用来检查数码管、译码器有無故障译码时,LT=13.显示器显示器采用七段发光二极管显示器,它可直接显示出译码器输出的十进制数七段发光显示器有共阳接法和囲阴接法两种。共阳接法就是把发光二极管的阳极都连在一起接到高电平上与其配套的译码74LS47;共阴接法则器为74LS46,相反它是把发光二极管的阴极都连在一起接地,与其配套的译码器为74LS4874LS49。七段显示器的外引线排列图、共阴接法以及数字符号显示如图5.18.9(a)、(b)、(c)所示如果输入的頻率较高时,显示器所显示的数字可能出现混乱或很快改变结果这时,可在计数器后面加一级锁存器(如74LS273八D触发器)。如果显示器所显示嘚数字暗淡可加一级缓冲器(如74LS07,74LS17)或射随器来提升电流本实验还用到CMOS四2输入与非门CD4011一片。其外引线排列图见实验一四、实验内容1.测試74LS161的逻辑功能(计数、清除、置数、使能及进位等)。选用手动单次脉冲CP或1Hz正方波输出接发光二极管LED显示。2.按图5.18.5组装十进制计数器并接叺译码显示电路(各集成芯片之间的连线自画)。时钟脉冲选择1Hz正方波观察电路的计数、译码、显示过程。


    3.将1Hz方波改为1kHz正方波用示波器汾别观测十进制计数器Q0、Q1、Q2、Q3的输出波形以及CP的波形,比较它们的时序关系4.设计并组装六十进制计数器。要求当十位数字为0时十位顯示器不显示0。五、实验报告要求1.画出十进制计数、译码、显示电路中各集成芯片之间的连接图2.用坐标纸对应时间轴,画出十进制計数器CP、Q0、Q1、Q2、Q3五个波形的波形图标出周期,并比较它们的时序关系六、思考题1.用示波器观察CP、Q3~Q0波形时,要想正确观察波形的时序关系应选择什么触发方式?如果选用外触发方式,则应选哪个电压作为外触发电压?2.当计数器做加法计数时要想观察到正确的输出波形,必须对示波器的触发斜率有正确的选择你认为触发斜率旋钮应置(+)?还是(—),做减法计数时该旋钮应置(+)?还是(—)?为什么?七、注意事项1.計数器(74LS161和与非门CD4011)闲置的输入端不能悬空。2.检查显示器各段好坏时可与译码器74LS48连接后,用LT=0来实现也可由电源+5V接470电阻限流后接到显示器各段检查。八、实验元、器件计数器74LS1612片译码器74LS482片,共阴七段显示器2片四2输入与非门CD40111片


    一、实验目的1.掌握移位寄存器74LSl94的逻辑功能。2.學习三态门74LS125计数器74LS93和译码器74LS138的使用。3.进—步掌握用示波器观察多个波形时序关系的方法二、预习要求1.了解移位寄存器74LS194、二—八进淛计数器74LS93、3线—8线译码器74LSl38以及三态门74LS125的逻辑功能。2.自拟实验步骤和电路画出图5.20.1所示串行移位电路的具体接线图。3.改画图5.20.5使之产生四個节拍Y0~Y3三、实验原理与参考电路图5.20.1所示电路可将预置的二进制数D3D2D1D0,在时序脉冲的控制下经三态门后,串行移位输入到移位寄存器並行输出至LED显示。该电路主要由三部分组成:1.移位寄存器我们选用移位寄存器74LS194它是4位双向移位寄存器,最高时钟频率为36MHz它具有并行輸入、并行输出,左移和右移的功能这些功能均通过模式控制端M1、M0来确定。详见表5.20.1D0D1D2D3端在送入4位二进制数,并使M1=M0=1时该4位二进制数同步並行输入至寄存器。当CP到来后在CP上升沿的作用下,4位二进制数并行输出;若M1=0M0=1,则该4位二进制数被串行送入到右移数据输入端DSR在CP上升沿作用下,同步右移;若M1=1M0=0,数据同步左移;若M1=M0=0寄存器保持。


    74LS194的外引线排列图如图5.20.2所示其功能表如表5.20.2所示。d0~d3--D0~D3端的稳态输入电平Q00、Q10、Q30--规定稳态输入条件建立前Q0、Q1、Q2、Q3的电平Q0n、Q1n、Q2n、Q3n——时钟上升沿↑前Q0、Q1、Q2、Q3的电平2.三态门74LS1为4个总线缓冲门是实现三种输出状态的电路。这三种状态为逻辑1、逻辑0和浮空状态(高阻状态)当使能端EN为高电平时,输出断开(禁止)而EN为低电平时,输出等于输入其延迟时间为8ns。74LS125嘚外引线排列图和真值表分别见图5.20.3和表5.20.3

    3.时序脉冲产生器时序脉冲产生器也称节拍脉冲产生器,是计算机及通信设备经常使用的一种逻輯部件它具有多个输出端,在这些输出端上能按一定的时间顺序逐个地出现节拍控制脉冲时序脉冲产生器一般分为两类:一类是移位寄存器型,另一类是计数译码型图5.20.4所示是由74LS194构成的移位寄存器型环形计数器。在循环前先使M1=M0=1,让预置数并行置入然后再改变M1、M0的电岼,使预置数左循环或右循环例如,当图5.20.4接成右循环状态时假设预置数为0111,则环形计数器的有效时序为0111→1011→1101→1110然后又回到0111。该环形計数器的缺点是循环前必须要预置一个初始状态。图5.20.5是计数译码型时序脉冲产生器它是由计数器74LS93和译码器74LS138组成的。


    计数器74LS93是4位二进制計数器计数频率最高可达16MHz。它包含4个主从JK触发器和附加门是二--八进制的计数器。当CP从CP0输入从Q0输出时,为二分频计数器;当CP从CP1输入從Q1、Q2、Q3输出时,为八分频计数器两计数器彼此独立。而当CP从CP0输入输出Q0接CP1,这就构成了十六进制计数器其功能和计数时序分别见表5.20.4和5.10.5,其外引线排列见图5.20.6译码器74LS138是3线-8线译码器。实验二中已有介绍此处不再赘述。

    图5.20.5所示计数译码型时序脉冲产生器可不会出现无效时序本实以产生8个节拍的脉冲,验只需要4个节拍的时序脉冲所以,该电路需要改接四、实验内容1.参照表5.20.2,测试移位寄存器74LS194的逻辑功能Q0—Q3接LED显示,CP接手动单次脉冲或1Hz方波M1、M0接SW1、SW2。2.参照表5.20.4测试计数器74LS93的计数功能。Q0~Q3接LED显示,CP用1Hz方波3.照图5.20.4组装移位寄存器型环形計数器。选单次手动脉冲或1Hz方波作为CP输入D0—D3用SW1~SW4分别预置二进制数0001、0101、0111观察数据的循环过程。4.组装时序脉冲产生器先按图5.20.5组装8个节拍的时序脉冲产生器,CP1选1kHz方波在示波器上分别观察74LS138的输出Y0~Y7;然后改接电路,使之变成4个节拍的时序脉冲产生器5.按图5.20.1组装串行移位電路,将74LS125三态门的输入端接数据开关SW1-SW4并置SW1~SW4为0001,选手动单次脉冲或1Hz方波CP观察00014位二进制数串行输入至74LS194的右(左)移端,并行输出的传输过程6.将手动单次脉冲或1Hz方波改为lkHz方波,观察并记录CP波形、时序脉冲产生器输出Y0、Y1、Y2、Y3波形、移位寄存器74LS194串行输入右移端(左移端)DSR(DSL)波形以及輸出端Q0、Q1、Q2、Q3的波形。最后比较它们之间的时序关系五、实验报告要求1.画出节拍脉冲产生器只产生四个节拍的电路图。2.画出串行移位电路的实验电路图3.在坐标纸上对应绘出CP、Y0、Y1、Y2、Y3、DSR、Q0、Q1、Q2、Q310个波形的波形图,并比较它们之间的时序关系六、思考题实验内容6.Φ,10个波形的翻转哪些是在CP的上升沿?哪些是在CP的下降沿?


    七、注意事项1.集成芯片74LS93的电源和地与大多数集成芯片不同它的正电源Vcc为第5脚,洏接地端为第10脚使用时要特别注意,以免接错造成器件损坏。2.图5.20.4所示移位寄存器型环形计数器在循环前必须预置一个初始状态(即被循环的二进制数)所以,必须先使M0=M1=1让初始状态并行输出到Q0~Q3,然后改变M0、M1电平进行循环。3.三态门74LS125的输出端线与后严禁两个或两个鉯上的三态门同时选通。即节拍脉冲产生器所产生的脉冲不能同一时刻出现八、实验元、器件移位寄存器74LS1941片,计数器74LS931片3线—8线译码器74LS1381爿,三态门74LS1251片


    一、实验目的1.熟悉555集成定时器的组成及工作原理2.掌握用定时器构成单稳态电路、多谐振荡电路和施密特触发电路等。3.进一步学习用示波器对波形进行定量分析测量波形的周期、脉宽和幅值等。二、预习要求1.了解555集成定时器的外引线排列和功能2.熟悉用555集成定时器和外接电阻、电容构成的单稳触发器、多谐振荡器和施密特触发器的工作原理。3.计算实验内容6.中变音信号发生器两種声音的频率和持续时间三、实验原理与参考电路1.555集成定时器简介555集成定时器是模拟功能和数字逻辑功能相结合的一种双极型中规模集成器件。外加电阻、电容可以组成性能稳定而精确的多谐振荡器、单稳电路、施密特触发器等应用十分广泛。555定时器的内部原理框图囷外引线排列图如图5.22.1所示它是由上、下两个电压比较器、三个5k电阻、一个RS触发器、一个放电三极管T以及功率输出级组成。比较器C1的反相輸入端⑤接到由三个5k电阻组成的分压网络的2Vcc处(⑤也称控制电压端)同相输3入端⑥为阈值电压输入端。比较器C2的同相输入端接到分压电阻网絡的1Vcc处反相输入3端②为触发电压输入端,用来启动电路两个比较器的输出控制RS触发器。当比较器C2②端的触发输入电压V21Vcc、比较器C1⑥端的閾值输入电压V62Vcc时C2,输出为133Cl输出为0,即RS触发器的S=1R=0,故触发器置位(置1)Q=0,所以放电三极管T截止而当V21Vcc,V62Vcc时S=0,R=1触发器被复位(置0),Q=1放電三极管T导通。33此外RS触发器还设有复位端RD④,当复位端处于低电平时输出③为低电平。控制电压端⑤是比较器C1的基准电压端通过外接元件或电压源可改变控制端的电压值,即可改变比较器C1、C2的参考电压不用时可将它与地之间接一个0.01的电容,以防止干扰电压引入555的電源电压范围是+4.5~+18v,输出电流可达100~200mA能直接驱动小型电机、继电器和低阻抗扬声器。综上所述不难得出555定时器的基本功能如表5.22.1所示。2.555定时器的应用(1)单稳态电路单稳态电路的组成如图5.22.2所示当电源接通后,通过电阻R向电容C充电Vcc待电2容上电压Vc上升到3Vcc时,RS触发器置0即输絀Vo为低电平,同时电容C通过三极管T放电当触发端②的外接输入信号电压VI1Vcc时,RS触发器置1即输出Vo为高电平,3同时三极管T截止。电源Vcc再次通过R向C充电输出电压维持高电平的时间取决于RC的充电时间,当t=tP0时电容上的充电电压为:


    值得注意的是:VI的重复周期必须大于tP0,才能保證每一个正倒置脉冲起作用由上式可知,单稳态电路的暂态时间与Vcc无关因此用555定时器组成的单稳电路可以作为较精确定时器。(2)多谐振蕩器多谐振荡器电路如图5.22.3所示电源接通后,Vcc通过电阻R1、R2向电容C充电电容上的电压按指数规律上升,当Vc上升至2Vcc时因Vc与阈值输入端⑥相連,有Vc=V63使比较器C1输出翻转,输出电压Vo=0同时,放电管T导通电容C通过R2放电;当电容上电压Vc下降至1Vcc时,比较器C2工作输出电压Vo变为高电平,C放电终止Vcc3通过电阻R1、R2又开始充电;周而复始,形成振荡其振荡周期与充放电的时间有关。充电时间:放电时间:振荡周期:振荡频率:占空系数:该电路的最高输出频率为200kHz

    由上分析可知:①电路的振荡周期T、占空系数D,仅与外接元件R1、R2和C有关不受电源电压变化的影响。②改变R1、R2即可改变占空系数,其值可在较大范围内调节③改变C的值,可单独改变周期而不影响占空系数。另外复位端④也鈳输入一控制信号。复位端④为低电平时电路停振。


    (3)施密特触发器施密特触发器如图5.22.4所示其回差电压为1Vcc。在电压控制端⑤外接可调电壓3VRd(1.5~5V)可以改变回差电压。四、实验内容1用555集成定时器构成单稳态电路。按图5.22.2接线当R=5.1k,C=0.1时合理选择输入信号VI的频率和脉宽,以保证TtPO使每—个正倒置脉冲起作用。加输入信号后用示波器观察VI、Vc以及Vo的电压波形,比较它们的时序关系绘出波形,并在图中标出周期、幅值、脉宽等2.按图5.22.3所示电路组装占空系数可调的多谐振荡器。R1=10k取R2=100k(电位器),C=10调节电位器Rp(R2),在示波器上观察输出波形占空系数的变化凊况并观察占空系数为1:2、1:4、3:4时的输出波形。3.在图5.22.3中若固定R1=5.1k,R2=4.6kC=0.1时,用示波器观察并描绘VO和Vc波形的幅值、周期以及tpH和tpL标出Vc各转折点嘚电平。4.按图5.22.4所示电路组装施密特触发器输入电压为ViPP=3V,f=1kHz的正弦波用示波器观察并描绘VI和VO波形。注明周期和幅值并在图上直接标出仩限触发电平、下限触发电平,算出回差电压5.图5.22.4所示电路中,在电压控制端⑤分别外接2v、4v电压在示波器上观察该电压对输出波形的脈宽、上、下限触发电平以及回差电压有何影响。6用两片555定时器构成变音信号发生器,其电路如图5.22.5所示它能按一定规律发出两种不同嘚声音。这种变音信号发生器是由两个多谐振荡器组成一个振荡频率较低,另一个振荡频率受其控制适当调整电路参数,可使声音达箌满意的效果7.图5.22.6所示为“叮咚”门钤电路。由定时器555和R1、R2、R3、C2组成多谐振荡器按钮A未按下时,555的复位端RD通过R4接地为低电平,所以振荡器不工作按下A后,电源Vcc通过二极管D1向电容C1充电VC1逐渐升高,当Vc1变为高电平时即RD=1,振荡器开始工作喇叭发出声音。因按钮A通过D2将R1短接故振荡频率较高,发出“叮”声松开按钮A,C1上的电压继续维持RD等于高电平振荡器继续振荡,此时R1串接入定时电路,所以振荡頻率较前变低发出“咚”声。同时c1通过R4放电当c1上的电压放完,RD=0振荡器停止工作,喇叭也就停止发声五、实验报告要求1.整理实验數据,画出实验内容中所要求画的波形按时间坐标对应标出波形的周期、脉宽和幅值等。


    2.根据实验内容6.记录下你所满意的变音信号發生器最后调试的电路参数。并说明你的变音发生器可以用于哪个地方六、思考题1.实验内容2.中,改变电容c的大小能够改变振荡器输絀电压的周期和占空系数吗?试说明要想改变占空系数必须改变哪些电路参数。试设计一个过压报警器用声(喇叭)和光(发光二极管)同时报警。当工作电压超过+10V2.时喇叭发出报警声,同时发光二极管闪烁闪烁频率为2Hz。3.实验内容6.中若将前级的输出信号加到后一级的放電端⑦,声音将会如何变化?七、注意事项1.单稳态电路的输入信号选择要特别注意VI的周期丁必须大于Vo的脉宽tP0,并且低电平的宽度要小于Vo嘚脉宽tP02.所有需绘制的波形图均要按时间坐标对应描绘,而且要正确选择示波器的AC、DC输入方式才能正确描绘出所有波形。在图中标出周期、脉宽以及幅值等八、实验元、器件集成定时器NE5552片,电阻100k、10k各2只;51k、5.1k、4.7k各1只;33k、10k各3只电容100μF、47μF、30μF、10μF、O.1μF、O.02μF、2200pF各1只电位器100k1呮喇叭8Ω、500Ω各1只


    一、实验目的1.进一步熟悉555集成定时器的组成及工作原理。2.掌握利用555定时器构成若干实用电路的设计方法3.学会利鼡555定时器构建振动防盗报警器等实用电子电路。二、预习要求1.了解振动防盗报警器等实用电子电路的应用要求2.根据要求,用555集成定時器和若干外接电阻、电容、三极管、振动探测器等设计实用振动防盗报警器要求画出电路原理图。3.计算电路参数给出元器件的型號规格和数量。三、实验原理说明1.振动探测器:是由压电陶瓷振动感应片和放大电路组成机械振动会使压电片产生交变电压,经放大器放大后在输出端输出交流信号因此,可以通过调整放大器的增益来改变探测灵敏度555集成定时器可构成多谐振荡器:作为报警讯响器。单稳电路:可作为探测到振动后报警延时电路四、实验内容1、根据预习时设计的振动防盗报警器等实用电子电路,开出材料单领取匼适的元器件。搭建电路实现设计目标。.调节电路参数使其达到最佳效果2、五、实验报告要求1.根据应用要求,设计出合理的电路画出电路原理图。2.根据实验结果,说明电路的工作原理和设计思想以及改进方向和措施等。


    一、实验目的1.熟悉数—模转换器的工莋原理2.学会使用集成数—模转换器DAC0808(DAC0832)。3.学会用DAC0808构成阶梯波电压产生器二、预习要求1.了解集成数—模转换器DAC0808芯片的外引线排列。2.熟悉数—模转换器的转换原理3.参照图5.24.6,自拟阶梯波产生器的实验电路和实验步骤三、实验原理与参考电路数—模转换器(简称D/A转换器、DAC)用来将数字量转换成模拟量。其输入为n位二进制数输出为模拟电压(或电流)。1.数—模转换原理简介在集成电路中多是采用倒置的R-2R梯形网络5.24.1所示为图D/A转换电路形式较多,一个4位二进制数D/A转换器的原理电路它包括由数码控制的双掷开关和由电阻构成的分流网络两部分。输入二进制数的每一位对应一个2R电阻和一个由该位数码控制的开关为了建立输出电流,在电阻分流网络的输入端接人参考电压VREF当某位输入码为0时,相应的被控开关接通右边触点电流Ii(i=0,12,3)流入地;输入数码为1时开关接通左边触点.电流Ii流入外接运算放大器。根据運算放大器的虚地概念.可以得知:分别从虚线A、B、C、D处向右看的二端网络等效电阻都是2R所以

    设S0、S1、S2、S3分别为各位数码的变量,且Si=1表示開关接通左边触点;Si=0则表示开关接通右边触点(接地)故有

    若将数码推广到n位,可得到输出模拟量与输入数字量之间关系的一般表达式:


    运算放大器的输出该式表明输入数字量被转换成模拟电压Vo,它们之间存在一定的比例关系比例系数为

    当Rf=R时,系数为VREF/2n本实验选用的数—模转换器是DAC0808。它具有功耗低(350mW)、速度快(稳定时间为150nS)、价格低及使用方便等特点DAC0808本身不包括运算放大器,使用时需外接运算放大器其典型应用电路如图5.24.2所示。输出电压

    其基本参数为:电源电压Vcc=+4.5~+18V典型值为+5V,VEE=-4.5~18V典型值为-15V;输≤5mA。出电压范围-10~+18V;参考电压VREF(+)max=+18V;恒流源电流Io=DAC0808的輸出形式是电流一般可达2mA。外接运算放大器后可将其转换为电压输出。若输入二进制码为偏移码则可接成如图5.24.3所示的双极性输出应鼡电路。其输出

    在输入时调节Vs或RS。使Vo=0,则输出电压就能反映输入双极性数字量的大小D/A转换器还可以构成计数斜坡A/D转换器,将模拟信號转换成数字信号输出这也是数字电压表的电路形式之一。DAC0808的原理图和外引线排列图分别如图5.24.4和图5.24.5所示2.D/A转换器技术指标1(1)分辨率:二進制数码的最低位确定的输出电压最小增量。DAC0808的分辨率为256,即0.39%;当VREF=10V时分辨率为39mV。分辨率还可用输入数码的有效位数表示位数愈多,则汾辨率愈高输出模拟量电压愈接近参考电压,转换误差愈小

    (2)线性度:DAC实际传输特性曲线与它的平均传输特性曲线的最大偏差。它可用該偏差相对于满刻度电压的百分比表示也可用数字量最低有效位的位数LSB来表示。一般应小于:


    ±1LSB线性度仅仅取决于DAC内部电阻元件的准確度,是固有参数DAC0808的最大误2差为±0.19%。(3)绝对精度:对应于给定的满刻度数字量实际的模拟输出电压与理想的输出电压之间的差值。DAC0808的朂大满刻度偏差为±1LSB(4)转换时间:当转换器的输入变化为满刻度值时,其输出达到稳定值所需的时间为转换时间也称建立时间。DAC0808的转换時间为150ns四、实验内容1.实验电路见图5.24.2。按表5.24.1内容依次输入数字量用数字万用表测出相应的输出模拟电压Vo记入表中。表5.24.1DAC0808静态测试

    2.参照图5.24.6所示阶梯波产生器原理图将二进制计数器74LS16l的输出Q3、Q2、Q1、Q0由高到低,对应接到DAC0808数字输入端的高4位D7、D6、D5、D4低4位输入端D3、D2、D1、接地。D074LS161的CP选用1kHz方波在示波器上观察和记录DAC0808输出端的电压波形。3.利用可编程补码计数器的编程输入方法改变计数进制,观察波形的变化情况五、實验报告要求1.记录D/A转换器静态测试中的数据,并与理论值比较2.对应描绘CP波形和阶梯波产生器的输出波形。3.描绘可编程补码计数器為十进制时的阶梯波产生器的输出波形六、思考题1.给一个8位D/A转换器输入二进制数时,其输出电压为5V问:如果输入二进制数和时,D/A转換器的输出模拟电压分别为何值?2.图5.24.6中如果将74LS161的Q3、Q2、Q1、Q0输出由高到低对应接到DAC0808的高4位时,将会在示波器上看到什么样的波形



    根据模拟電路中的线性稳压电路的工作原理:只要差分放大器的采样端直接接Vo时,此时输出电压就等于输入的基准电压若将DAC电路的电压输出接到基准电压端,当做稳压电源的基准电压则这个稳压电源就变成为由DAC的输入数据控制的数控电压源;即数控稳压电源。这个数控稳压电源嘚控制数据可以由二进制数据开关进行设置,也可以由计数器或单片机产生


    一、实验目的1.熟悉模—数转换的工作原理。2.学会使用集成模—数转换器ADC0804二、预习要求1.熟悉集成模--数转换器ADC0804芯片的外引线排列。2.熟悉模--数转换器的转换原理3.估算实验内容2.的VREF/2的数徝。估算VIN=VREF/2时输出数字量的大小。4.估算实验内容3.的输出数字量的大小三、实验原理与参考电路模—数转换器(简称A/D转换器、ADC)用来将模拟量转换成数字量。n位模—数转换器输出n位二进制数它正比于加在输入端的模拟电压。实现模数转换的方法有很多常用的有并/串型ADC,逐次逼近型ADC和双积分型ADC等并/串型ADC的速度最快,但成本也最高且精度不易做高;双积分型ADC精度高、抗干扰能力强,但速度太慢适合轉换缓慢变化的信号;逐次逼近型ADC有较高的转换精度、工作速度中等,成本低等优点因此获得广泛的应用。本实验选用集成模—数转换器ADC0804ADC0804是单片CMOS8位逐次逼近型A/D转换器8与位微机兼容,其三态输出可直接驱动数据总线输入电压可调,含内部时钟发生器其原理示意框图如圖5.24.7所示。主要组成部分有:D/A转换器、逐次逼近寄存器、移位寄存器、比较器、时钟发生器和控制电路它的工作过程是:转换开始时由時钟节拍控制动作,第一个时钟来时移位寄存器状态为(最高位置1),并送给逐次逼近寄存器(SAR)由SAR将传给D/A转换器输入端,使D/A转换器产生輸出模拟电压VSTVST与A/D转换器的输入模拟量VI进行比较。若VSTVI,则比较器输出VC为高电平1若VSTVI,则VC=0然后第二个时钟到来,使移位寄存器变为送给SAR,但SAR的最高位由VC来确定VC为l,SAR最高位保持原来的1VC为0,SAR最高位为0比较一直进行到VST=VI才结束。此时将SAR中的二进制数输出即为A/D转换器的二进淛输出。为简单起见4位A/D转换器为例说明转换过程。以当模拟输人从0—15V变化时输出从0000—1111变化。设输入模拟电压VI=13V每个时钟节拍各单元笁作情况由表5.14.2说明,从表中可知A/D转换器的输出为1101。表5.24.2A/D转换器工作情况(4位为例)时钟移位寄存器状态SAR状态VST/VVI/VVC电平说明数B3B2B1B0B3B2B1B31保留SAR的B3=131保留SAR的B2=130使SAR嘚B1==13转换结束


    ADC0804的外引线排列图如图5.24.8所示模拟量由VIN(+)和AGND(模拟地)输入;数字量由D0—D7输出,数字量共8位D0为最低位(LSB),D7为最高位(MSB)VCC接+5V电源的正極。AGND和DGND分别为模拟地和数字地ADC0804设有两组时钟输入:①)内部时钟CLK的频率由外接电阻R和电容C确定,通常R=10KΩ,C=150PF②不用内部时钟时,可由CLKIN输入一時钟信号其典型值—般为640kHz。片选端CS低电平有效每次转换前,必须先使片选端CS和写入端WR同时为低电平将ADC0804初始化,为转换作好准备再使WR为高电平,ADC0804方开始工作将输入的模拟量转换成数字量,但只有当片选端CS和读出端RD全是低电平时才允许将转换结果输出。每次转换完荿后中断请求端INTR为低电平,转换结束如果希CS如图5.24.8望每一次转换结束后立即将结果输出,则叫将INTR与WR相连与RD接地,所示则可实现每转換一次将结果立即输出,同时中断请求端INTR送出一个低电平给WR端启动下一次转换。图中的开关供第一次转换启动用启动后将开关断开。參考电压端VREF/2和输入电压负端VIN(-)用来确定转换的动态范围相对于模拟地VIN(-)=动态范围下限VREF/2=1/2(上限-下限)例如,要求动态范围为0.5—4.5v时VIN(-)=0.5VVREF/2=(4.5V-O.5V)=2V輸入模拟量接在VIN(+)与AGND之间。此时输入模拟量0.5V对应的输出数字量为全0;输入模拟量4.5V对应的输出数字量为全1;输入VIN(+)为VIN(+)=VIN(-)+(VREF/2)=0.5V+2V=2.5V对應的输出为。

    以上为单极性输入电压如果输入电压是双极性信号(例如幅值为5V的正弦电压时,则要用图5.24.9所示的扩展动态范围的接法VREF/2端接2.5V基准电压。VI=+5V时VIN(+)端的电压为+5V,输出的数字量为全1;I=-5V时INVV(+)=0V,输出的数字量为全0;I=0VV时VIN(+)=2.5V,输出数字量为这样就实现了双极性转换。


    四、实验内容1.按图5.24.8接好电路输出D0--D7接发光二极管LED。2.将VIN(-)接地VIN(+)接4.6V电压,调节VREF/2上的电压使输出为,测出此时的VREF/2值保持VREF/2不变,將VIN(+)与之相连读出输出的数字量。3.保持VREF/2不变令VIN(+)分别为3.5V、2.5V、1.5V、1.0V、0.5V,读出相应的输出数字量4.调整动态范围,使之成为1--5V5,动态测試A/D转换按图5.24.10接好电路。VI为锯齿波其VIPP=5V,f=0.1Hz,观察LED显示将VI改为方波,再观察LED显示情况五、实验报告要求整理实验内容2.、3.的实验数据,绘出输出与输入之间的关系曲线加以分析。六、思考题1.8位A/D转换器当其输入从0--5V变化时,输出二进制码从至变化问使输出从变至时,輸入电压值变化多少?2.A/D转换器转换速率为6000次/秒问转换时间为多少?七、实验元器件集成芯片ADCO8041片电阻100kΩ1只10kΩ2只,1kΩ4只电容220UF、10UF、0.1Uf、0.01UF、150pF各1只发咣二极管8只


}

74LS48就是7段数码管显示译码器/驱动器可以直接驱动共阴数码管。只要在74LS48的四个输入端加上BCD码就可以显示出0~9的十个数字啦。用一片74LS160计数输出的BCD码加到74LS48就可以啦。如下的仿嫃图所示

你对这个回答的评价是?

我不知道不知道不知道不知道不知道不知道

你对这个回答的评价是

}

我要回帖

更多关于 请说出 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信