数字电路化简公式到最后有两种结果。看看一样不一样。

> 数字电路读书笔记
数字电路读书笔记
时间: 来源: 本文已影响人
篇一:数电模电读书笔记之数字逻辑电路 模电数电读书笔记――数字逻辑电路 物电113班尤明海 随着数字逻辑技术的发展,数字逻辑电路也逐步应用于我们生活的方方面面。在数字机顶盒,数字电冰箱,数字洗衣机等领域均有所体现。本文将大体介绍数字逻辑电路的发展历程、分类方法、数值、用途与特点,最后详细介绍数字逻辑电路的实际应用。 一. 数字电路的发展历程与分类方法 数字电路的发展:数字电路的发展与模拟电路一样经历了由电子管、半导体分立器件到集成电路等几个时代。但其发展比模拟电路发展的更快。从60年代开始,数字集成器件以双极型工艺制成了小规模逻辑器件。随后发展到中规模逻辑器件;70年代末,微处理器的出现,使数字集成电路的性能产生质的(本文来自: 千 叶帆文 摘:数字电路读书笔记)飞跃。逻辑门是数字电路中一种重要的逻辑单元电路 。TTL逻辑门电路问世较早,其工艺经过不断改进,至今仍为主要的基本逻辑器件之一。随着CMOS工艺的发展,TTL的主导地位受到了动摇,有被CMOS器件所取代的趋势。近年来,可编程逻辑器件PLD特别是现场可编程门阵列FPGA的飞速进步,使数字电子技术开创了新局面,不仅规模大,而且将硬件与软件相结合,使器件的功能更加完善,使用更灵活。数字逻辑电路分类: 1、按功能来分: (1)组合逻辑电路:简称组合电路,它由最基本的的逻辑门电路组合而成。特点是:输出值只与当时的输入值有关,即输出惟一地由当时的输入值决定。电路没有记忆功能,输出状态随着输入状态的变化而变化,类似于电阻性电路,如加法器、译码器、编码器、数据选择器等都属于此类。 (2)时序逻辑电路:简称时序电路,它是由最基本的逻辑门电路加上反馈逻辑回路或器件组合而成的电路,与组合电路最本质的区别在于时序电路具有记忆功能。时序电路的特点是:输出不仅取决于当时的输入值,而且还与电路过去的状态有关。它类似于含储能元件的电感或电容的电路,如触发器、锁存器、计数器、移位寄存器、储存器等电路都是时序电路的典型器件。 2、按电路有无集成元器件来可分为分立元件数字电路和集成数字电路。 3、按集成电路的集成度进行分类可分为小规模集成数字电路(SSI)、中规模集成数字电路(MSI)、大规模集成数字电路(LSI)和超大规模集成数字电路(VLSI)。 4、按构成电路的半导体器件来分类 可分为双极型数字电路和单极型数字电路。 二.数字逻辑电路的用途和特点 数字电子电路中的后起之秀是数字逻辑电路。把它叫做数字电路是因为电路中传递的虽然也是脉冲,但这些脉冲是用来表示二进制数码的,例如用高电平表示“1”,低电平表示“0”。声音图像文字等信息经过数字化处理后变成了一串串电脉冲,它们被称为数字信号。能处理数字信号的电路就称为数字电路。 这种电路同时又被叫做逻辑电路,那是因为电路中的“1”和“0”还具有逻辑意义,例如逻辑“1”和逻辑“0”可以分别表示电路的接通和断开、事件的是和否、逻辑推理的真和假等等。电路的输出和输入之间是一种逻辑关系。这种电路除了能进行二进制算术运算外还能完成逻辑运算和具有逻辑推理能力,所以才把它叫做逻辑电路。 由于数字逻辑电路有易于集成、传输质量高、有运算和逻辑推理能力等优点,因此被广泛用于计算机、自动控制、通信、测量等领域。一般家电产品中,如定时器、告警器、控制器、电子钟表、电子玩具等都要用数字逻辑电路。 数字逻辑电路的第一个特点是为了突出“逻辑”两个字,使用的是独特的图形符号。数字逻辑电路中有门电路和触发器两种基本单元电路,它们都是以晶体管和电阻等元件组成的,但在逻辑电路中我们只用几个简化了的图形符号去表示它们,而不画出它们的具体电路,也不管它们使用多高电压,是TTL电路还是CMOS电路等等。按逻辑功能要求把这些图形符号组合起来画成的图就是逻辑电路图,它完全不同于一般的放大振荡或脉冲电路图。 数字电路中有关信息是包含在0和1的数字组合内的,所以只要电路能明显地区分开0和1,0和1的组合关系没有破坏就行,脉冲波形的好坏我们是不大理会的。所以数字逻辑电路的第二个特点是我们主要关心它能完成什么样的逻辑功能,较少考虑它的电气参数性能等问题。也因为这个原因,数字逻辑电路中使用了一些特殊的表达方法如真值表、特征方程等,还使用一些特殊的分析工具如逻辑代数、卡诺图等等,这些也都与放大振荡电路不同。 三.数字电路的数制 在我们的日常生活中常用的进制主要是十进制(因为我们有十个手指,所以十进制是比较合理的选择,用手指可以表示十个数字,0的概念直到很久以后才出现,所以是1-10而不是0-9)。例如:在早期设计的机械计算装置中,使用的不是二进制,而是十进制或者其他进制,利用齿轮的不同位置表示不同的数值,这种计算装置可能更加接近人类的思想方式。比如说一个计算设备有十个齿轮,它们级连起来,每一个齿轮有十格,小齿轮转一圈大齿轮走一格。这就是一个简单的十位十进制的数据表示设备了,可以表示0到的数字。 配合其他的一些机械设备,这样一个简单的基于齿轮的装置就可以实现简单的十进制加减法了。而在如今的信息化、数字社会,十进制不能满足人们的使用要求,从而出现了不同的进制,如我们常说的二进制、八进制、十六进制等 。 二进制是计算技术中广泛采用的一种数制。计算机运算基础采用二进制。电脑的基础是二进制,电子计算机出现以后,使用电子管来表示十种状态过于复杂,所以所有的电子计算机中只有两种基本的状态,开和关。也就是说,电子管的两种状态决定了以电子管为基础的电子计算机采用二进制来表示数字和数据。这种通过不同的位置上面不同的符号表示数值的方法就是进制表示方法。一个字是电脑中的基本存储单元,根据计算机字长的不同,字具有不同的位数,现代电脑的字长一般是32位的,也就是说,一个字的位数是32。字节是8位的数据单元,一个字节可以表示0-255的数据。对于32位字长的现代电脑,一个字等于4个字节,对于早期的16位的电脑,一个字等于2个字节。八进制的数较二进制的数书写方便,常应用在电子计算机的计算中。十六进制常用在单片机的编程里。数制应用领域范围之广,一时难以一一举例,未来的世界数字化,期待着。 四、数字逻辑设计在生活中的应用 随着数字机顶盒、数字高清电视和液晶平板电视的迅猛发展,日常消费电子产品中的数字视频解调接收器和视频图像处理信号接收前端的重要模块-模数转换器的应用越来越广泛,而且随着整机产品的功能和性能要求越来越高,功耗低面积小的数字视频片上系统(SoC)单芯片已经成为10位分辨率、多通道模数转换器的主要应用芯片。但是由于片上系统单芯片集成了大量的模拟电路和数字逻辑电路,内部时钟频率也非常高,因此导致电路噪声偏大,影响模数转换器的性能。如何使模数转换器既拥有较强的抗干扰能力,同时达到低功耗的要求,越来越成为模拟集成电路研究的热点和难点。 期间主要的研究成果和工作有以下几个方面: (1)一般流水线模数转换器常用的动态比较器需要从外部输入参考源与输入信号进行比较然后输出数字域的结果,而本文提出的无输入参考源动态比较器不需要在比较器之外引入参考源而是利用比较器输入对管的差别产生比较阈值,这样减轻了参考源驱动电路的负载,排除了外部电路对动态比较器组成的子模数转换器模块的干扰,另外减少了外部引入参考源走线的数量进而减小了硅面积。 (2)虽然流水线模数转换器的冗余位数字校正(RSD)能够消除一定的误差,但是在低电压应用中,由于信号输入幅度相对较高,因此冗佘校正后的误差仍较大,为了保证整个模数转换器依然有良好的线性度和良好的信噪比,论文提出了内插冗余校正技术。该技术的原理是:由于根据系统定义的噪声限制指标和制造工厂提供的工艺匹配参数可以计算出第i级之后插入一级冗余校正级。因此内插冗余校正级可以把第i级的输出大于正常输入范围数倍之内的信号做为输入(主要是第1级到第i级累积的误差并被MDAC电路放大引起的)然后输出时校正到后级能接受的正常输入范围,这样就可以避免最终模数转换器输出钳位和饱和引起整个ADC的线性度和动态范围的下降。 (3)为了兼容标准数字逻辑工艺,MDAC中没有使用线性度较高的MiM电容,而是选择了三明治式金属层间电容(stack capacitor),这需要通过仔细提取金属层间电容的寄生参数以确保电容的线性度能保证整个ADC的性能。 (4)为了优化电路的功耗和面积,论文设计的流水线模数转换器采用了运算放大器复用技术,这样可以让相邻的两个MDAC共用一个运算放大器,有效地降低了功耗和面积。 五、结束语 随着现代电子技术的发展,人们正处于一个信息时代。而现代信息的传输、处理和存储越来越趋于数字化。人们在日常生活中,常用的计算机、电视机、音响系统、视频记录仪设备、长途电信等电子设备或电子系统,无一不采用数字电路或子系统。因此在今后,数字逻辑电路的应用也将越来越广泛、技术越来越先进。篇二:数电读书笔记 书名:数字电子技术基础 作者:林涛 出版社:清华大学出版社 读书时间:日――――日 内容简介: 《数字电子技术基础(第2版)》依据新修订的《高等工业学校电子技术基础课程教学基本要求》,并结合多年的教学实践经验编写而成。主要内容包括数字逻辑基础、逻辑门电路、组合逻辑电路、触发器、时序逻辑电路、半导体存储器、脉冲波形的产生与变换、a/d与d/a转换、可编程逻辑器件、vhdl语言简介及其在数字系统分析与设计中的应用举例等。各章前有内容提要、学习提示,章未有小结、思考题与习题。
《数字电子技术基础(第2版)》可作为高等学校电气信息类、电子信息类、计算机类及相近专业本科生数字电子技术基础教材和教学参考书,也可作为有关工程技术人员的参考书。 读书笔记: ① : 组合逻辑电路 组合逻辑电路是指在任何时刻,逻辑电路的输出状态只取决于该时刻各输入状态的组合,而与逻辑电路原来的状态无关。组合逻辑电路的结构特点是:电路由各种门电路构成,不存在反馈。 描述组合逻辑电路的功能的主要方式有以下几种。 1. 逻辑函数表达式逻辑函数表达式通常以与或表达式表示,并且化简为最简与或表达式,这种表达形式的有点是便于进行逻辑推导。 2. 逻辑电路图 逻辑电路图简称为逻辑图,组合逻辑电路图是由各种门电路的逻辑符号及相互连线组成。 3. 真值表 以表格的形式描述输入变量的各种取值组合与输出函数值的对应关系,输入变量取值组合的顺序通常以对应二进制数的顺序表示。 4. 波形图 波形图是以数字波形的形式表示逻辑电路输入与输出的逻辑关系。 5. 卡诺图: 卡诺图不仅可以作为简化逻辑函数的工具,而且也是描述逻辑函数的一种方式,卡诺图中的每一个小方格与真值表中每一组输入变量取值组合事实上存在一一对应的关系,在某种意义上说,卡诺图是真值表的图形表示。 组合逻辑电路的分析方法: (1)写出逻辑函数表达式。根据已知的逻辑电路图,从输入到输出逐级写出逻辑电路的逻辑函数表达式。 (2)化简逻辑函数表达式。一般情况下,有逻辑电路写出的逻辑表达式不是最简与或表达式,因此需要对逻辑函数表达式进行化简或者变换,以便用最简与或表达式来表示逻辑函数。 (3)列写真值表。根据逻辑表达式列出反应输入输出逻辑变量互相关系的真值表。 (4)分析并用文字概括出电路的逻辑功能。根据逻辑真值表,分析并确定逻辑电路所实现的逻辑功能。 组合逻辑电路设计的一般方法。 (1)列出真值表。 (2)写出逻辑数表达式。 (3)化简或变换逻辑函数的表达式。 (4)画出逻辑电路图。 编码器和译码器: 编码器 在数字系统中,用特定代码(比如BCD码、二进制码等)表示各种不同的符号、字母、数字等有关信号的过程称之为编码。 1. 二进制编码器 能过实现用n为二进制代码对N=2的n次方个一般信号进行编码的电路,称之为二进制编码器,这种编码器又称之为普通编码器。 2. 优先编码器 在优先编码器电路中,允许两个以上的输入信号同时输入有效,为了保证输出代码与输入信号的一一对应关系,即每次只对一个输入信号惊醒编码,因此,再设计优先编码器时,将所有输入信号按优先顺序排好队,当N个输入信号同事输入有效时,只能对其中优先权最高的一个输入信号进行编码。这种编码器广泛应用于计算机系统的中断请求和数字控制的排队逻辑电路中。3. 二-十进制编码器 将表示十进制数0.1.2.3.4.5.6.7.8.9的10个信号分别转换4位二进制代码的电路,称为二-十进制编码器。 译码器 1. 二进制译码器 二进制译码器输入时n位二进制码,输出有2的n次方条线。 2. 74183应用举例 74138的基本功能是3线-8线译码器,但由于它具有3个使能控制端S1. S2. S3及能提供最小项的与非门电路结构。使74138译码器的扩展及灵活应用比较方便。 3. 二-十进制译码器 二-十进制译码器也称BCD译码器,它的逻辑功能是将输入的一组BCD码译成十个高低电平输出信号。 4. 数字显示译码器 数字显示译码器不同于上述的译码器,它的主要功能是译码驱动数字显示器件,数字显示的方式分三种: (1)字形重叠式,即将不同字符的电极重叠起来,使相应的电极发亮,则可显示需要的字符。 (2)分段式,即在同一个平面上按笔画分布发光段,利用不同发光段组合,显示不同的数码。 (3)点阵式,由一些按一定规律排列的可发光的点组成,通过发光点组合显示不同的数码。数据分配器与数据选择器 数据分配器 在数据传输的过程中,常需要把一条通道上的数据分配到不同的数据通道上,实现这一功能的电路成为数据分配器(也称多路数据分配器,多路数据调节器)。 数据选择器 数据选择器(MUX)的逻辑功能是在地址选择信号的控制下,从多路数据中选择出一路数据作为输出信号,相当于多输入的单刀多掷开关。 1. 数据选择器的功能描述 2. 数据选择器的扩展 如需要选择的数据通道较多时,可以选用八选一或十六选一数据选择器,也可以把几个数据选择器连接起来扩展数据输入端。 3. 数据选择器的应用 数据选择器的应用很广泛,他不仅可以实现有选择的传递数据,而且还可以作为逻辑函数发生器,实现所有要求的逻辑函数功能,也可以将并行数据转化为串行数据进行传输。 计数器 2的n次方进制计数器组成规律 1. 2的n次方进制同步加计数器 同步计数器中,每个触发器在CP的作用下同时形成新状态,由2的n次方进制加计数规律可知,最低位每来一个时钟脉冲就应翻转一次,而其他各位应在其所有低位全为1时,再来时钟脉冲才翻转(低位向篇三:电子电路读书笔记 电子电路读书笔记
1、 HC为COMS电平,HCT为TTL电平 2、 LS输入开路为高电平,HC输入不允许开路, HC一般都要求有上下拉电阻来确定输入端无效时的电平。LS 却没有这个要求 3、 LS输出下拉强上拉弱,HC上拉下拉相同 4、 工作电压:LS只能用5V,而HC一般为2V到6V 5、 CMOS可以驱动TTL,但反过来是不行的。TTL电路驱动COMS电路时需要加上拉电阻,将2.4V~3.6V之间的电压上拉起来,让CMOS检测到高电平输入 6、 驱动能力不同,LS一般高电平的驱动能力为5mA,低电平为20mA;而CMOS的高低电平均为5mA 7、 RS232电平为+12V为逻辑负,-12为逻辑正 8、 74系列为商用,54为军用 9、 TTL高电平&2.4V,TTL低电平&0.4V, 噪声容限0.4V 10、 OC门,即集电极开路门电路(为什么会有OC门?因为要实现“线与”逻辑),OD门,即漏极开路门电路,必须外界上拉电阻和电源才能将开关电平作为高低电平用。否则它一般只作为开关大电压和大电流负载,所以又叫做驱动门电路。并且只能吸收电流,必须外界上拉电阻和电源才才能对外输出电流 11、 COMS的输入电流超过1mA,就有可能烧坏COMS 12、 当接长信号传输线时,在COMS电路端接匹配电阻 13、 在门电路输入端串联10K电阻后再输入低电平,输入端出呈现的是高电平而不是低电平 14、 如果电路中出现3.3V的COMS电路去驱动5V CMOS电路的情况,如3.3V单片机去驱动74HC,这种情况有以下几种方法解决,最简单的就是直接将74HC换成74HCT的芯片,因为3.3V CMOS 可以直接驱动5V的TTL电路;或者加电压转换芯片;还有就是把单片机的I/O口设为开漏,然后加上拉电阻到5V,这种情况下得根据实际情况调整电阻的大小,以保证信号的上升沿时间。 15、 逻辑门输出为高电平时的负载电流(为拉电流),逻辑门输出为低电平时的负载电流(为灌电流) 16、 由于漏级开路,所以后级电路必须接一上拉电阻,上拉电阻的电源电压就可以决定输出电平。这样漏极开路形式就可以连接不同电平的器件,用于电平转换。需要注意的一点:在上升沿的时候通过外部上拉无源电阻对负载进行充电,所以上升沿的时间可能不够迅速,尽量使用下降沿 17、 几种电平转换方法: (1) 晶体管+上拉电阻法 就是一个双极型三极管或 MOSFET,C/D极接一个上拉电阻到正电源,输入电平很灵活,输出电平大致就是正电源电平。 (2) OC/OD 器件+上拉电阻法 跟 1) 类似。适用于器件输出刚好为 OC/OD 的场合。 (3) 74xHCT系列芯片升压 (3.3V→5V) 凡是输入与 5V TTL 电平兼容的 5V CMOS 器件都可以用作 3.3V→5V 电平转换。 ――这是由于 3.3V CMOS 的电平刚好和5V TTL电平兼容(巧合),而 CMOS 的输出电平总是接近电源电平的。 廉价的选择如 74xHCT(HCT/AHCT/VHCT/AHCT1G/VHCT1G/...)系列 (那个字母 T 就表示 TTL 兼容)。 (4) 超限输入降压法 (5V→3.3V, 3.3V→1.8V, ...) 凡是允许输入电平超过电源的逻辑器件,都可以用作降低电平。 这里的&超限&是指超过电源,许多较古老的器件都不允许输入电压超过电源,但越来越多的新器件取消了这个限制 (改变了输入级保护电路)。 例如,74AHC/VHC 系列芯片,其 datasheets 明确注明&输入电压范围为0~5.5V&,如果采用 3.3V 供电,就可以实现 5V→3.3V 电平转换。 (5) 专用电平转换芯片 最著名的就是 164245,不仅可以用作升压/降压,而且允许两边电源不同步。这是最通用的电平转换方案,但是也是很昂贵的 (俺前不久买还是¥45/片,虽是零售,也贵的吓人),因此若非必要,最好用前两个方案。 (6) 电阻分压法 最简单的降低电平的方法。5V电平,经1.6k+3.3k电阻分压,就是3.3V。 (7) 限流电阻法 18、 无极性电容和有极性电容:前者的封装基本为。后者用的最多为铝电解电容,好一点的钽电容 19、 PQFP(Plastic Quad Flat Package,塑料四边引出扁平封装),BGA(Ball Grid ArrayPackage,球栅阵列封装),PGA(Pin Grid Array Package,针栅阵列封装),PLCC(Plastic Leaded ChipCarrier,塑料有引线芯片载体),SOP(Small Outline Package,小尺寸封装),TOSP(Thin Small OutlinePackage,薄小外形封装),SOIC(Small Outline Integrated CircuitPackage,小外形集成电路封装) 集成电路常见的封装形式 QFP(quad flat package)四面有鸥翼型脚(封装) BGA(ball grid array)球栅阵列(封装) PLCC(plastic leaded chip carrier)四边有内勾型脚(封装) SOJ(small outline junction) 两边有内勾型脚(封装) SOIC(small outline integrated circuit)两面有鸥翼型脚(封装) 20、 屏蔽线对静电有很强的抑制作用,双绞线对电磁感应也有一定的抑制效果 21、 模拟信号采样抗干扰技术:可以采用具有差动输入的测量放大器,采用屏蔽双胶线传输测量信号,或将电压信号改变为电流信号,以及采用阻容滤波等技术 22、 闲置不用的IC管脚不要悬空以避免干扰引入。不用的运算放大器正输入端接地,负输入端接输出。单片机不用的I/O口定义成输出。单片机上有一个以上电源、接地端,每个都要接上,不要悬空 23、 电阻阻值色环表示法:普通的色环电阻器用4环表示,精密电阻器用5环表示 24、 电阻的作用为分流、限流、分压、偏置、滤波(与电容器组合使用)和阻抗匹配等 25、 电容的作用:隔直流,旁路,耦合,滤波,补偿,充放电,储能等 26、 一般电容的数字表示法单位为pF,电解电容一般为uF 27、 电容器的主要性能指标: 电容器的容量(即储存电荷的容量),耐压值(指在额定温度范围内电容能长时间可靠工作的最大直流电压或最大交流电压的有效值)耐温值(表示电容所能承受的最高工作温度。). 28、 电感器的作用:滤波,陷波,振荡,储存磁能等 29、 电感器的分类:空芯电感和磁芯电感.磁芯电感又可称为铁芯电感和铜芯电感等30、 半导体二极管的分类a 按材质分:硅二极管和锗二极管;b按用途分:整流二极管,检波二极管,稳压二极管,发光二极管,光电二极管,变容二极管。 31、 场效应管是电压控制元件,而晶体管是电流控制元件。在只允许从信号源取较少电流的情况下,应选用场效应管;而在信号电压较低,又允许从信号源取较多电流的条件下,应选用晶体管 32、 Socket是一种插座封装形式,是一种矩型的插座; Slot是一种插槽封装形式,是一种长方形的插槽 33、 晶振的测量方法:用万用表RX10K档测量石英晶体振荡器的正,反向电阻值.正常时应为无穷大.若测得石英晶体振荡器有一定的阻值或为零,则说明该石英晶体振荡器已漏电或击穿损坏 34、 IO口输出高电平时,驱动能力最低,对外显示为推电流;IO口输出低电平时,驱动能力最大,对外显示为拉电流 35、 外围集成数字驱动电路如果驱动的是感性负载,必须加限流电阻或钳住二极管 36、 9013提供的驱动电流有300mA 37、 输出数据应该锁存(外围速度跟不上,所以需要锁存),输入数据应该有三态缓冲(加入了高阻状态,不至于对内部的数据总线产生影响) 38、 8位并行输出口(必须带有锁存功能):74LS377,74LS273.8位并行输入口(必须是三态门):74LS373,74LS244 39、 串行口扩展并行口,并行输入口:74LS165。并行输出口:74LS164 40、 键盘工作方式有三种:1、编程扫描方式2、定时扫描方式3、中断方式。还可以专门设计一个IO口用来进行双功能键的设计(上档键和下档键) 41、 对于TTL负载,主要应考虑直流负载特性,因为TTL的电流大,分布电容小。对于MOS型负载,主要应考虑交流负载特性,因为MOS型负载的输入电流小,主要考虑分布电容 42、 特别注意总线负载平衡的概念!
43、 上拉电阻的好处:1、提高信号电平2、提高总线的抗电磁干扰能力(电磁信号通过DB进入CPU)3、抑制静电干扰(CMOS芯片)4、反射波干扰(长远距离传输) 44、 稳压时,采用两级集成稳压芯片稳压效果更好 45、 传输线的阻抗匹配:1、终端并联阻抗匹配(高电平下降)2、始端串联匹配(低电平抬高)3、终端并联隔直流匹配(RC串联接地)4、终端接钳位二极管 46、 接地分两种:外壳接地(真正的接地)和工作接地(浮地) 47、 在单片机中地的种类:数字地,模拟地,功率地(电流大,地线粗),信号地,交流地,屏蔽地 48、 一点接地:低频电路(1MHZ以下)。多点接地:高频电路(10MHZ以上) 49、 交流地与信号地不能公用,数字地和模拟地最好分开,然后在一点相连 50、 揩振回路:可以选用云母、高频陶瓷电容,隔直流:可以选用纸介、涤纶、云母、电解、陶瓷等电容,滤波:可以选用电解电容,旁路:可以选用涤纶、纸介、陶瓷、电解等电容 51、 二极管应用电路 (1)限幅电路---利用二极管单向导电性和导通后两端电压基本不变的特点组成,将信号限定在某一范围中变化,分为单限幅和双限幅电路。多用于信号处理电路中。 (2)箝位电路---将输出电压箝位在一定数值上。 (3)开关电路---利用二极管单向导电性以接通和断开电路,广泛用于数字电路中。(4)整流电路---利用二极管单向导电性,将交流信号变为直流信号,广泛用于直流稳压电源中。 (5)低电压稳压电路---利用二极管导通后两端电压基本不变的特点,采用几只二极管串联,获得3V以下输出电压 52、 高频旁路电容一般比较小,根据谐振频率一般是0.1u,0.01u等,而去耦合电容一般比较大,是10uF或者更大 53、 上拉电阻: 1、当TTL电路驱动COMS电路时,如果TTL电路输出的高电平低于COMS电路的最低高电平(一般为3.5V),这时就需要在TTL的输出端接上拉电阻,以提高输出高电平的值。 2、OC门电路必须加上拉电阻,才能使用。 3、为加大输出引脚的驱动能力,有的单片机管脚上也常使用上拉电阻。 4、在COMS芯片上,为了防止静电造成损坏,不用的管脚不能悬空,一般接上拉电阻产生降低输入阻抗,提供泄荷通路。 5、芯片的管脚加上拉电阻来提高输出电平,从而提高芯片输入信号的噪声容限增强抗干扰能力。 6、提高总线的抗电磁干扰能力。管脚悬空就比较容易接受外界的电磁干扰。 7、长线传输中电阻不匹配容易引起反射波干扰,加上下拉电阻是电阻匹配,有效的抑制反射波干扰。 从节约功耗及芯片的灌电流能力考虑应当足够大;电阻大,电流小。 从确保足够的驱动电流考虑应当足够小;电阻小,电流大。 54、 上拉就是将不确定的信号通过一个电阻嵌位在高电平!电阻同时起限流作用!下拉同理! 55、 旁路电容:产生一个交流分路,从而消去进入易感区的那些不需要的能量。去耦电容:提供一个局部的直流电源给有源器件,以减少开关噪声在板上的传播和将噪声引导到地(他的取值大约为旁路电容的1/100到1/1000)
相关热词搜索:,,,,数字电路逻辑设计|数字电路逻辑设计课后答案
当前位置: && 正文
数字电路逻辑设计|数字电路逻辑设计课后答案
20:30:18 来源: 责任编辑:
篇一 : 数字电路逻辑设计课后答案篇二 : 数字逻辑电路课程设计报告数字逻辑电路课程设计报告姓名 姜楠学号 指导教师贾立新专业班级 计算机+自动化1101学 院计算机学院提交日期2013年 6月 6日一、实验内容1. 12进制计数器设计。(]2. 数字频率计的设计。二.12进制计数器设计1.设计要求用74LS192设计12进制加法计数器,计数值从01~12循环,用7段LED数码管显示计数值。用DEII实验板验证。2.原理图设计利用两个74LS192充当计数器的高位和低位,下图中左边的74LS192作为低位,右边的74LS192为高位.两片的输入端A,B,C,D均置数0,0,0,0,左边的74LS192输入频率为1kHZ的信号.利用74LS47译码器,将传入的十进制信号直接翻译成7段显示码,输出接口接上7段显示管。利用一个与非门实现十进制。当产生001011时设置清零端口有效12进制加法计数器原理图如图1所示。图1 12进制加法计数器原理图3.操作步骤.打开QuartusII软件,创建wizard,选择器件为CycloneIIEP2C35F672C8。新建 block Diagram/Schematic File,创建cnt12.bdf文件. 将元器件74LS192,74LS47,与非门,输入输出引脚从library导入,连好图,修改输入输出引脚的名字。进行全程编译,无误后启动"Assigment-Pin"菜单,配置引脚的location分别为PIN-V13,PIN-V14,PIN-AE11,PIN-AD11,PIN-AC12,PIN-AB12,PIN-AF12.在QuartusII软件选择"Tools"菜单下的"Programmer"命令。在下载之前,要进行硬件配置,在"Hardware Setting"中选择"USB-Blaster",将编程模式选择为"JTAG",并在"Program/Configure"复选框内打勾,便可点击"start"按钮,开始下载。在FPGA上检验是否为12进制。三.4位数字频率计设计1.设计要求设计4位数字频率计,测频范围Hz。用DEII实验板验证。2.数字频率计的工作原理当闸门信号(宽度为1s的正脉冲)到来时,闸门开通,被测信号通过闸门送到计数器,计数器开始计数,当闸门信号结束时,计数器停止计数。由于闸门开通时间为1s,计数器的数值就是被测信号频率。为了使测得的频率值准确,在闸门开通之前,计数器必须清零。为了使显示电路稳定的显示频率值,计数器和显示电路之间加了锁存器,当计数器计数截止,将计数值通过锁存信号送到锁存器。控制电路在时基电路的控制下产生三个信号:闸门信号,锁存信号和清零信号。图2 数字频率计原理框图图3 数字频率计原理框图工作时序3.数字频率计顶层原理图设计图中总共有四个不同的功能模块:CNT10,LATCH4,DECODER和CONTROL模块。四个十进制计数器CNT10组成10000进制计数器,是频率计的测量范围达到0-9999Hz;LATCH4模块用于锁存计数器计数结果;DECODER模块将计数器输出的8421BCD码转换为7段显示码。CONTROL模块为频率计的控制器,产生满足时序要求的控制信号。图4 数字频率计顶层原理图4.数字频率计底层模块仿真(1)计数器模块仿真Clk:时基信号Clr:清零信号Cs:片选信号,cs=1时才会计数。图5 计数器模块仿真结果(2)锁存器模块仿真当le=1时将dd信号锁存到qq中。图6 锁存器模块仿真结果(3)显示译码模块仿真结果将din传入的8421BCD码转换成7段显示码图7 显示译码模块仿真结果(4)控制模块仿真结果控制电路在时基电路的控制下产生三个信号:闸门信号,锁存信号和清零信号。图8 控制模块仿真结果5.数字频率操作过程1.打开QuartusII软件,创建wizard,选择器件为CycloneIIEP2C35F672C8。新建VHDL File,创建cnt10,latch4,decoder,control四个模块.2. 编写VHDL代码.CNT10:use ieee.std_logic_1164.use ieee.std_logic_unsigned.entity cnt10 isport(clk:in std_clr:in std_cs :in std_qq :buffer std_logic_vector(3 downto 0);co :out std_logic);end cnt10;architecture one of cnt10 isbeginprocess(clk,clr,cs)beginif (clr='1') thenqq&="0000";elsif (clk'event and clk='1') thenif (cs='1') thenif (qq=9) thenqq&="0000";elseqq&=qq+1;process(qq)beginif (qq=9) thenco&= '0';elseco&='1';Latch4:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY LATCH4 ISPORT(le: IN STD_LOGIC;dd: IN STD_LOGIC_VECTOR(3 DOWNTO 0);qq: OUT STD_LOGIC_VECTOR(3 DOWNTO 0));END LATCH4;ARCHITECTURE one OF LATCH4 ISBEGINPROCESS(le,dd)BEGINIF (le='1') THENqq&=END IF;END PROCESS;END&Decoder:use ieee.std_logic_1164.entity decoder isport(din:in std_logic_vector(3 downto 0);led7s:out std_logic_vector(6 downto 0));Earchitecture one of decoder isbeginprocess(din)begincase din iswhen "0000"=&led7s&="1000000";when "0001"=&led7s&="1111001";when "0010"=&led7s&="0100100";when"0011"=&led7s&="0110000";when"0100"=&led7s&="0011001";when"0101"=&led7s&="0010010";when"0110"=&led7s&="0000010";when"0111"=&led7s&="1111000";when"1000"=&led7s&="0000000";when"1001"=&led7s&="0010000";when"1010"=&led7s&="0001000";when"1011"=&led7s&="0000011";when"1100"=&led7s&="1000110";when"1101"=&led7s&="0100001";when"1110"=&led7s&="0000110";when"1111"=&led7s&="0001110";when others=&led7s&=&Control:use ieee.std_logic_1164.entity control isport(clk: in std_cs,clr,le: out std_logic);architecture behav of control issignal current_state,next_state:std_logic_vector(3 downto 0);constant st0:std_logic_vector :="0011" ;constant st1:std_logic_vector :="0010";constant st2:std_logic_vector :="0110";constant st3:std_logic_vector :="0111";constant st4:std_logic_vector :="0101";constant st5:std_logic_vector :="0100";constant st6:std_logic_vector :="1100";constant st7:std_logic_vector :="1101";constant st8:std_logic_vector :="1111";constant st9:std_logic_vector :="1110";begincom1:process(current_state)begincase current_state iswhen st0=&next_state&=st1; clr&='1'; cs&='0'; le&='0';when st1=&next_state&=st2; clr&='0'; cs&='1'; le&='0';when st2=&next_state&=st3; clr&='0'; cs&='1'; le&='0';when st3=&next_state&=st4; clr&='0'; cs&='1'; le&='0';when st4=&next_state&=st5; clr&='0'; cs&='1'; le&='0';when st5=&next_state&=st6; clr&='0'; cs&='1'; le&='0';when st6=&next_state&=st7; clr&='0' ; cs&='1'; le&='0';when st7=&next_state&=st8; clr&='0'; cs&='1'; le&='0';when st8=&next_state&=st9; clr&='0'; cs&='1'; le&='0';when st9=&next_state&=st0; clr&='0'; cs&='0'; le&='1';when others=&next_state&=st0; clr&='0'; cs&='0'; le&='0';end process com1;reg: process(clk)beginif (clk'event and clk='1') thencurrent_state&=next_3.对各个子模块进行编译,若出现错误,则首先排查VHDL是否正确。4.上一步成功编译后,再进行仿真。创建Vector Waveform File,确定仿真时间(End Time)和网格宽度(Grid Size),在列表处加入输入输出节点(Insert Node Or Bus),并配置输入波形,最后开始仿真(Start Simulation)。5.仿真结果正确后,生成相应的模块符号,以便在顶层图中使用。6.各个子模块完成后,创建fmeter.bdf.将各个子模块导入到文件中,并按照顶层原理图所示,正确布局和连接线路。设置fmeter.bdf为顶层并编译。7.上一步正确后,开始分配引脚,打开Pin选项,为每一个Node设置Location,设置规则参考书本附录。8.打开Programmer,将fmeter的配置下载到CycloneII芯片内,在FPGA面板上运行。检查是否出现错误,若没有,则实验顺利完成。四.实验体会碰到的问题编写完VHDL代码后,对其编译,始终显示错误,检查代码无误,并重新创建wizard后,仍然无法通过编译。解决:应当建立一个独立的文件夹,将文件存储在里面,否则,同级目录下出现其他不相关的文件,会影响编译。将内容下载到FPGA后,无法正常运行。解决:检查配置时发现,芯片型号配置错误,应该为CycloneIIEP2C35F672C8。编写fmeter.bdf后,编译,但无法通过。解决:检查错误时发现,存在多余的线头,部分连线没有真正连上。实验收获老师要求很严格,相比于其他专业,学生们只要从别人那里拷贝一下就可以顺利通过实验,我们的实验课不仅是在面包板上连线,而且还要学习如何使用Quartus软件,学习VHDL语言,利用FPGA来实现相应的功能。短短几次课学习到了很多知识。首先,原先只学习软件知识,这几次实验使我对硬件描述语言有了初步认识,拓展了对硬件的理解。其次,提高了自身的学习能力和查错能力。很多时候,不知道接下来的步骤要如何完成,不知道自己完成的电路到底哪里出错,一心想着是不是我的仪器是坏的。最后在同学的帮助下,都顺利解决了。但过程却异常艰辛。看着同学的实验都验收了,自己的实验就是调试不对,心里急也没用,只能一步步检查。建议建议适时更新一下实验室配置,机器老了,应当及早维修。而且应该为我们计算机学院单独配一个硬件实验室,而不是用其他学院的实验室。电脑里有前人做实验留下的代码,有些人就这样用别人的成果水水地验收了。建议及时清理硬盘。篇三 : 数字逻辑电路基本设计方法第10章 数字逻辑电路基本设计方法中、小规模数字集成电路按照逻辑功能的特点分类,可分为组合逻辑电路和时序逻辑电路两大类。因此,按照逻辑功能的特点,数字逻辑电路基本设计方法分为组合逻辑电路设计方法和时序逻辑电路设计方法。按照电路使用器件的集成度不同,数字逻辑电路基本设计方法又可分为标准化设计方法和最小化设计方法。选用SSI器件(小规模集成电路)设计电路的方法称为标准化设计方法。在标准化设计过程中,系统设计、逻辑设计、器件选择相互比较独立,各器件之间的相互制约较少,设计者可使用卡诺图、布尔代数等成熟的设计技术,其设计目标在于以极少的器件实现所要求的逻辑功能。选用MSI器件(中规模集成电路)设计电路的方法称为最小化设计方法。在最小化标设计过程中,系统设计、逻辑设计和器件选择之间紧密联系,相互影响,使电路中逻辑门向数量最少的设计方向努力,已不再是十分重要的工作。正确地选择MSI器件,更经济的实现所需要的功能,已成为更重要的设计步骤。此外,MSI器件因其集成度高,能够降低系统的功耗,改善系统可靠性。总之,设计任务的主要目标是得到一个有正确响应的系统,既能实现所要求的逻辑功能,又能按规定的速度进行操作。同时,电路简单、降低成本也是追求的目标。一个简单的数字电路的成本,最方便的计算方法是用实现它的器件的数量来衡量。所用器件的总数降至最少,也就实现了硬件价格的最低。10.1 组合逻辑电路设计方法组合逻辑电路的特点就是该电路任一时刻的输出信号仅取决于当时的输入信号,而与电路原来的状态无关。因此,组合逻辑电路中不含存储器件(例如触发器、寄存器等),且输入端与输出端之间无反馈回路。设计者应根据给出的实际逻辑问题,设计并实现这一逻辑功能的逻辑电路。电路设计的基本要求是功能正确,电路简单,在保证实现所有要求的逻辑功能前提下尽量降低电路的成本。110.1.1 组合逻辑电路标准化设计组合逻辑电路标准化设计时,选用的SSI器件是各种类型的逻辑门。因此,也常把这个层次的设计又称门级设计。电路最简的标准是所用的门电路的数目最少,同时,门电路输入端的数目也应最少。 组合逻辑电路的标准化设计工作通常可按以下步骤进行:一、逻辑抽象,列出电路逻辑真值表1.根据事件的因果关系,确定输入变量和输出变量。一般总是把引起事件的原因定为输入变量,而把事件的结果作为输出变量。2.确定输入、输出变量取值的含意。3.根据给定的因果关系(逻辑功能)列出电路逻辑真值表。 至此,已将一个实际的逻辑问题抽象成一个组合逻辑函数了,并以逻辑真知表形式给出。二、写出逻辑函数式为便于对逻辑函数式进行化简和变换,需要把真值表转换为对应的逻辑函数式。三、选定器件类型应根据对电路的具体要求和器件的资源情况决定采用哪一种类型的器件,以便规范电路。四、逻辑函数式化简与变换1.化简为获得最简单的设计结果,应将逻辑函数式化成最简形式,即逻辑函数式中相加的乘积项最少,而且每个乘积项中的因子也最少。其目的是使电路中所用的器件数量最少。常用的逻辑函数式化简的方法有:公式法、卡诺图法和观察法。2.变换如果对所用器件的种类有附加的限制(例如只允许用单一类型的与非门),则还应将逻辑函数式变换成与器件类型相对应的形式。五、画逻辑电路图根据化简或变换后的逻辑函数式,画出逻辑电路图。六、软件仿真通过EDA设计软件(例如Max+Plus II或EWB)对设计电路进行逻辑仿真,验证电路逻辑功能的正确性。七、工艺设计包括设计机箱、面板、电源、显示电路、控制开关等等。最后还 2必须完成组装、测试。10.1.2 组合逻辑电路最小化设计组合逻辑电路最小化设计时,选用的MSI器件是组合类型的。组合逻辑电路最小化设计总的步骤和标准化设计的步骤是一样的,即第一步逻辑抽象、第二步写出逻辑函数式,以及第五步画逻辑电路图、第六步软件仿真、第七步工艺设计,与标准化设计步骤没有区别。但在第三、四步骤的做法上不完全相同。第三步,选定器件类型,写出其逻辑函数式。每种型号中规模集成的组合逻辑电路都有其确定的逻辑功能,并以逻辑真知表形式给出,可将其写成逻辑函数式的形式。第四步,逻辑函数式变换。将待产生的逻辑函数式变换成与所用器件的逻辑函数式相同或类似的形式。上述使用MSI器件设计组合逻辑电路的方法又称为逻辑函数式对照法。采用组合逻辑电路最小化设计方法还应注意以下两点:1.如果一个MSI器件规格不够用,可用几个器件进行扩展;2.MSI器件自身就具有一定的逻辑功能。有时,只要将MSI器件的逻辑功能真知与所设计电路的逻辑功能真知表进行对照,便可知道如何进行电路的连接。310.2 时序逻辑电路的设计方法通常,时序逻辑电路由组合逻辑电路和存储电路两部分组成。有的时序逻辑电路中只有存储电路部分。时序逻辑电路的结构框图如图10.7所示。图10.7 时序逻辑电路结构框图由可知,存储电路的输出端反馈到组合逻辑电路输入端,与输入信号一起共同决定组合逻辑电路的输出状态。因此,时序逻辑电路的特点是任一时刻的输出信号不仅取决于当时的输入信号,而且还取决于电路原来的状态,即与存储电路当时的输出状态有关。存储电路是由若干个触发器组成。用输入信号和电路状态(指存储电路的状态)的逻辑函数去描述时序电路逻辑功能的方法也叫时序机。在设计时序逻辑电路的时候,只要把状态变量(q1~qn)也当作逻辑函数的输入变量,那么设计组合电路的方法仍然可以使用。10.2.1 时序逻辑电路标准化设计时序逻辑电路标准化设计时,选用的SSI器件是各种类型的触发器(触发器能够保持两个稳定的逻辑状态0或1,或二进制数的0或1)和逻辑门。电路最简的标准是所用的触发器和门电路的数目最少,而且触发器和门电路的输入端数目也最少。时序逻辑电路的标准化设计工作通常可按以下步骤进行:一、逻辑抽象,画出电路状态转换图(表)1.分析给定的逻辑问题,确定输入变量、输出变 4量及其取值的含义。通常都是取原因或条件作为输入逻辑变量,取结果作输出逻辑变量。2.确定电路的状态数量和每个电路状态的含义,并将电路状态顺序编号。3.按照题意列出电路的状态转换图(表)。至此,已将一个实际的逻辑问题抽象成一个时序逻辑函数了,并以状态转换图或状态转换表形式给出。二、状态化简,画出最简的电路状态转换图若两个电路状态在相同的输入下有相同的输出,并且在一个时钟信号的作用下转换到同样的另外一个状态,则称这两个电路状态为等价状态。等价状态是重复的,可以合并为一个。电路的状态数越少,设计出来的电路也就越简单。状态化简的目的就在于将等价状态合并,以求得最简的状态转换图。特别提到的是,若在步骤一对电路的状态数分析的仔细,可以避免等价状态的出现,可以省略该步骤。三、状态分配,列出详细的电路状态转换图(表)1.确定触发器数目时序电路中包含有触发器,因此,电路的状态是用触发器状态的不同组合来表示的。如果所设计的电路有M个状态,计算电路中所用触发器数目的公式为2n-1<M≤2n (10.7)在已知M的情况下,通过式(10.7)可以计算出电路中所需要的触发器数目n。2.电路状态编码电路状态用触发器的状态组合Qn-1~Q0表示。因此,每个电路状态都对应一组二值代码。规定每个电路状态对应的代码值称为电路状态编码。在M<2n的情况下,从2n个状态中选取M个状态组合可以有多种不同的方案,而每个方案中又有多种排列顺序。如果编码方案及排列顺序选择得当,设计的电路简单,反之,电路会变得复杂。此外,为了便于记忆和识别,一般选用的状态编码和它们的排列顺序都遵循一定的规律。53.列出电路状态转换表根据第一步骤列出的电路状态转换图(表)和电路状态编码,列出详细的电路状态转换表。在该表中,将触发器当前状态(又称初态)用Qi(i=0,1,…,n-1)表示,而将它的下一个状态称为次态,用Qin+1表示。初态与次态之间转换需要在一个脉冲的作用下才能完成。四、选定触发器,求出电路状态方程、输出方程和驱动方程1.确定触发器类型触发器的类型不同,则逻辑功能不同,驱动方式也就不同。所以,用不同类型的触发器设计出的电路也不一样。因此,在设计具体电路之前必须选定触发器的类型。选择触发器类型时应考虑到器件的供应情况,并应力求减少电路中使用的触发器种类。2.逻辑函数化简,写出状态方程和输出方程、驱动方程首先,在电路状态转换表中,将触发器当前状态也看作输入变量,写出触发器次态变量和输出变量的逻辑函数,这些逻辑函数通常用卡诺图或逻辑式形式来表示;然后,对逻辑函数进行化简,写出电路状态方程和输出方程;最后,根据选定的触发器类型对状态方程进行变换,写出电路驱动方程。五、画出逻辑电路图根据电路驱动方程和输出方程,画出逻辑电路图。六、检查设计的电路能否自启动七、软件仿真通过EDA设计软件(例如Max+Plus II或EWB)对设计电路进行逻辑仿真,验证电路逻辑功能的正确性。八、工艺设计包括设计机箱、面板、电源、显示电路、控制开关等等。最后还必须完成组装、测试。610.2.2 时序逻辑电路最小化设计通常情况下,时序逻辑电路是由组合逻辑电路和时序逻辑电路两部分组成。因此,时序逻辑电路最小化设计时,也要将电路划分成组合逻辑电路部分和时序逻辑电路部分,并分别进行设计。电路最简单的标准则是使用的器件数目最少、种类最少、而且互相间的连线也最少。时序逻辑电路的最小化设计工作通常可按以下步骤进行:一、逻辑抽象,画出电路的状态转换图(表) 与时序逻辑电路标准化设计相同。二、选择时序MSI器件类型,列出详细的电路状态转换表1.确定时序MSI器件类型对于各种类型的时序MSI器件,它的名称能够直接地反映出用途。而它的的逻辑功能,则以真知表的形式给出。每种时序MSI器件的内部电路均内置若干个触发器,且提供一定数量的触发器状态组合。例如74160内置4个触发器,共有10个状态组合。实际上,电路状态数量就是指触发器状态组合数量。 通过查阅器件的真知表,确定器件类型。首先,从真知表中查看状态组合的变化是否应符合所设计的电路状态变化特征(如移位、计数、可逆等);然后,再看状态组合数量是否大于或等于所设计的电路状态数量,若小于,则可用几个器件进行扩展。选定的器件必须同时满足这两个条件。2.电路状态编码时序MSI器件中每个状态组合的编码及其排列顺序都是已知的,选择有效的电路状态编码。3.列出详细的电路状态转换表根据第一步骤列出的电路状态转换图(表)和电路状态编码,可以列出详细的电路状态转换表。三、时序逻辑电路部分设计1.选定工作状态,写出其控制逻辑函数式7通常,时序MSI器件均有几种工作状态。确定具体的工作状态之后,再根据详细的电路状态转换表,写出工作状态控制逻辑函数式。2.选定电路状态数量控制方式,写出其控制逻辑函数式选定器件后,若它的电路状态数量比所要求的数量多时,应对电路状态数量加以控制。有两种方法可以减少电路状态数量。(1)置数法或置零法时序MSI器件一般都设有预置数端或置零端,通过这两个使能端,可以控制电路状态数量。根据电路工作状态,写出置数或置零逻辑函数式。置数法又称置位法,置零法又称复位法。(2)减少状态输出端使用的数量时序MSI器件的一个状态输出端对应一个触发器,状态输出端使用的数量减少了,所用的触发器数量也就少了,电路状态数量就会自然地减少了。四、组合逻辑电路部分设计在电路状态转换表中,将状态变量也作为输入变量,这样状态转换表就变成一个组合逻辑真知表。接下来可按照9.1.所述的步骤进行设计。五、画出逻辑电路图根据已得的逻辑函数式,画出逻辑电路图。六、软件仿真通过EDA设计软件(例如Max+Plus II或EWB)对设计电路进行逻辑仿真,验证电路逻辑功能的正确性。七、工艺设计包括设计机箱、面板、电源、显示电路、控制开关等等。最后还必须完成组装、测试。8篇四 : 逻辑结构设计
内容:/68410.html
文章很赞,分享给朋友
04-12· 04-21· 05-04· 04-27· 04-05· 04-07· 04-15· 04-19· 04-27· 04-06· 04-07·
05-07· 04-13· 04-26· 05-03· 04-30· 04-13· 04-08· 04-19· 04-04· 04-30· 04-15·
1 2 3 4 5 6 7 8 9 10 11 12
1 2 3 4 5 6 7 8 9 10 11 12
免责声明:本站作品均来自网友分享或互联网,若您发现本站存在您非授权的原创作品请第一时间联系本站删除,本站享有代替本站作者维权的权力。 CopyRight @ 2008- 生活小百科,All Rights Reserved 版权所有
粤ICP备号-1}

我要回帖

更多关于 数字电路 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信