在simulilk两信号除法excel怎么做除法? 用哪个器件?怎么设置其参数?

挣扎在体系结构与深度学习的困难群众
Xilinx Vivado的使用详细介绍(3):使用IP核
IP核(IP Core)
Vivado中有很多IP核可以直接使用,例如数学运算(乘法器、除法器、浮点运算器等)、信号处理(FFT、DFT、DDS等)。IP核类似编程中的函数库(例如C语言中的printf()函数),可以直接调用,非常方便,大大加快了开发速度。
使用Verilog调用IP核
这里简单举一个乘法器的IP核使用实例,使用Verilog调用。首先新建工程,新建demo.v顶层模块。
点击Flow Navigator中的IP
选择Math Functions下的Multiplier,即乘法器,并双击。
将弹出IP核的参数设置对话框。点击左上角的Documentation,可以打开这个IP核的使用手册查阅。这里直接设置输入信号A和B均为4位无符号型数据,其他均为默认值,点击OK。
稍后弹出的窗口,点击Generate。
选择IP Sources,展开并选择mult_gen_0
- Instantiation Template - mult_gen_0.veo,可以打开实例化模板文件。如图,这段代码就是使用Verilog调用这个IP核的示例代码。
将示例代码复制到demo.v文件中,并进行修改,最终如下。代码中声明了无符号型的4位变量a和b,分别赋初值7、8,作为乘数使用;无符号型的8位变量p,用于保存计算结果。clk为Testbench编写的周期20ns的时钟信号;mult_gen_0
mul(...)语句实例化了mult_gen_0类型的模块对象mul,并将clk、a、b、p作为参数传入。
module demo();reg clk = 0;always #10 clk = ~wire [3:0] a = 7;wire [3:0] b = 8;wire [7:0] p;mult_gen_0 mul (
.CLK(clk),
// input wire CLK
// input wire [3 : 0] A
// input wire [3 : 0] B
// output wire [7 : 0] P);endmodule
行为仿真验证
以demo为顶层模块,启动行为仿真,即可输出波形。设置a、b、p显示为无符号十进制(右击选择Radix
- Unsigned Decimal)。如图,可以看到a=7,
b=8,第一个时钟上升沿后p =
a * b = 56。
框图(Block Design)中调用IP核
这里举一个简单的例子,通过调用乘法器IP核,产生一个能计算平方的新模块。
创建框图设计文件
选择Flow Navigator中的Create
Block Design,创建一个框图设计文件。
输入文件名并点击OK。
在框图空白处右击,选择Add IP。
可以直接搜索需要的IP核,双击确认。
IP核即可被添加进来,可以用导线将其与其他器件连接。
双击这个IP核符号,可以打开参数设置对话框。点击左上方的Documentation可以查看IP核的手册。这里将输入的A、B均设置为4为无符号型,其他为默认值,点击OK确认。
右击Diagram窗口空白处,选择Create
弹出窗口中,设置端口a为4位输入信号,并点击OK。
将a与A、B都连接起来。
同样的方法,添加一个8位输出端口p,与P连接。
再添加一个clk时钟输入端口,与CLK连接。
最终结果如图。
右击框图设计文件design_1,选择Create
HDL Wrapper。
选择第二项并点击OK。
打开生成的design_1_wrapper.v文件如图,红框中的代码用来调用前面画好的Block
Design模块。
在design_1_wrapper.v文件中,添加Testbench代码即可进行行为仿真。修改代码如下,给输入信号a赋初值为8,clk连接到Testbench生成的时钟信号c上。
wire [3:0]a = 8;wire clk;wire [7:0]p;reg c = 0;always #10 c &= ~c;assign clk = c;
在Simulation Sources文件夹下,设置design_1_wrapper.v为行为仿真的顶层文件(右击,选择Set
as Top)。
启动行为仿真,最终输出的波形如下。可以看到,在clk的第一个上升沿后,就有 p
= a*a = 64,即实现了平方运算
没有更多推荐了,
加入CSDN,享受更精准的内容推荐,与500万程序员共同成长!每日登录:+1西币&+1积分
立即签到已签到已签到0天
累计可抽西币次数:0次抽奖机会抽西币奖
累计可抽大奖次数:0次抽奖机会抽终极大奖
很抱歉!您所访问的页面不存在,或网址发生了变化,请稍后再试。
&下次自动登录
绑定第三方账号登录 &
扫描二维码登录支持中心移动端
获取动态密码
售前文档售后文档
热门视频系列热门版区售后服务概述自助服务帮助信息
You are here:
做个除法。整数变实数,怎么写?
热点活动:
置评已完成,谢谢!
您现在可以为该问题添加标签。
做个除法。整数变实数,怎么写?
- 已解决问题
模拟量换算得来的实数,带6位小数。我只想保留一位,该怎么做?&我有个想法不知道对不对:R乘以10,取整,再除以10.就应该能得到带1位小数的实数了。&现在写到最后一步,除法写不出来了。我用的DIV,但是模拟的时候过不了。输入这边写的+0(IW263),输出那边16#(ID0)&怎么回事啊?大家来帮帮忙
问题补充:这样说嘛,直接举例说明:&整数263,用什么指令转换成26.3,在PLC程序指令(最好是梯形图嘛)里面实现。&&暂时没在PLC旁边,模拟不起,请问DI_R这个指令是双整数转换实数的,是如何转换呢?&转换之后是直接在后面加小数点和0啊,还是移动小数点?&简单的说,236,用在DI_R指令中,转换出来是不是263.0?
产品版区:
悬赏分:10 | 解决时间: 18:48:44 | 提问者:& - 学长&nbsp&nbsp第2级
问题ID:24982
看了这个问题的网友还看了
模拟量进的来信号是整数,你除出来的数是实数,做不到,你需要先装整数转化为实数。你再做试一下&你将I-DI&,DI-R,后边的事你自己来吧
初级工程师&nbsp&nbsp第9级&
以下网友赞了您的问题:
填写您的评论...
提问者对于答案的评价:OK
等您来回答
本版相关问题
作者/最新回复
最后回复时间
想啊很大,如果真想这样做,用语句表来写比较好!&一时大意,没有见到是S7--200。呵呵!!
- 中级工程师&nbsp&nbsp第10级
& 22:46:43
你要先将IW263的数转化成实数,然后再按你的想法做
- 中级工程师&nbsp&nbsp第10级
& 08:27:06
在下位机是不能的,除法变整数那么就只要除以一个实数就可以了。然后再上位机来设定显示的位数。
- 高级顾问&nbsp&nbsp第14级
& 08:41:56
你自己的推测全部都正确.
- 中级工程师&nbsp&nbsp第10级
& 12:39:17
整数就是整数,不带小数点后面的数的。&如果想保留一位小数,要么就是浮点数*10后转换为整数。就像231温度模块一样,AI的数据就是实际温度的10倍,相当于是1位小数(定点数)。或者就以浮点数保留好了,上位机显示的时候可以限定小数点的位数的
- 助理工程师&nbsp&nbsp第8级
& 17:03:22
上一页1下一页&
扫描打开手机版
支持中心新发布“关注”功能了!实时查看彼此动态,更方便地进行技术交流。
不管是“关注”与“被关注”,相信都是一个美好的开始!
还有多重奖励派送,快快行动起来吧!
20秒帮我们优化支持中心!
欢迎您访问支持中心!
丰富的视频,全方位的文档,大量的网友交流精华……
为了更好的完善这些内容,我们诚邀您在浏览结束后,花20秒左右的时间,完成一个用户在线调查!
感谢您的支持!
欢迎您来到找答案
在“找答案”,已有超过7万个被解决的技术问题供您参考!
西门子技术专家亲自把关!常规的,简单的问题,在这里您都可以找到答案!
遇到了问题,就来“找答案”提问。
点击搜索框右侧的提问按钮,只需2步就能成功提交问题!分分钟就有无数大侠前来帮你。
在“找答案”每天都有大量的新问题。
别犹豫,只需要点击问题下方的“我要回答”就能参与。答题不光有积分相送,也会给您积攒大侠人气。
“找答案”定期开展各种有奖活动期待您的参与。
更有机会参加西门子高端用户峰会。领略西门子的博大精深!除法器_中国百科网
    
摘 要:AD734是一个高精度高速的10 MHz四象限乘法/除法器,他与同类产品相比,具有直接除法模式,高精度、低失真、低噪声的特点,可以直接取代AD534。本文主要介绍AD734的工作原理、内部结构及其在伽玛相机中的使用。  关键词:除...
2-2000min的定时器 ? 用一个CD4020B除法器对555定时器的输出进行分频,S1是一个量程选择开关,它提供2-20min,20-200min和200-2000min的定时间隔。 ...
  如图所示为除法电路。该电路用乘除法器集成电路MPY100组成。输入信号为V1、V2,输出Vo为Vo=10V2/V1。这种除法器是将乘法器接在运算放大器的反院回路组成的。V1的输入范围为-0.2V到10V,V2的输入范围为-10V到...
CA输出端 4)ISENSE 电刘检测端,内部接CA输入负端,外部经电阻接电流检测电阻的电压正端 5)Mult Out乘法器输出端,即电流检测另一端,内部接乘法/除法器输出端 和CA输入正端,外端经电阻接电流检测电阻的电压负端? 6)JAC...
如图所示为除法电路。该电路用乘除法器集成电路MPY100组成。输入信号为V1、V2,输出Vo为 Vo=10V2/V1。 这种除法器是将乘法器接在运算放大器的反院回路组成的。V1的输入范围为-0.2V到10V,V2的输入范围为-10V到...
收录时间:日 17:37:55 来 源:未知作者:匿名
上一篇: &(&&)
创建分享人
Copyright by ;All rights reserved.【图文】参数可设置宏功能模块lpm的应用_百度文库
您的浏览器Javascript被禁用,需开启后体验完整功能,
享专业文档下载特权
&赠共享文档下载特权
&10W篇文档免费专享
&每天抽奖多种福利
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
参数可设置宏功能模块lpm的应用
阅读已结束,下载本文到电脑
想免费下载本文?
登录百度文库,专享文档复制特权,积分每天免费拿!
你可能喜欢计算机组成原理除法课程设计_百度文库
您的浏览器Javascript被禁用,需开启后体验完整功能,
享专业文档下载特权
&赠共享文档下载特权
&10W篇文档免费专享
&每天抽奖多种福利
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
计算机组成原理除法课程设计
阅读已结束,下载本文需要
想免费下载本文?
定制HR最喜欢的简历
下载文档到电脑,同时保存到云知识,更方便管理
加入VIP
还剩16页未读,
定制HR最喜欢的简历
你可能喜欢}

我要回帖

更多关于 计算机如何做除法 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信