谁知道这块时钟集成块型号查询手册的形号?还有改变时基频率的接脚?

心形流水灯
心形流水灯课程设计之流水灯制作方法(含CD4017电路)
此作品特别适合初学者制作,其本质就是用数字芯片CD4017构成的流水灯,显示方式根据自己的喜好、创意就OK。动手制作这样的礼物,送给ta绝对会是再好不过了。
首先,我们了解一下该作品的五脏六腑:
1. 杜邦线(若干)
2. 万能板X2
3. 电位器X2
4. 470uF电容X1
6. 变压器X1
7. 104电容(若干)
8. LM7809芯片X1
9. 散热片X1
10. 各色LED灯(若 干)
11. 100uF电容X1
12.2K电阻X1
13. 680欧电阻(若干)
14. 共阴 数码管X4
15. NE555和 CD4017 (各一片)
16. 芯片底座X2(有利于芯片的二次利用)
17. 木板5块加包装纸2张(尺寸大小根据自己情况而定)
所需器件如下图所示:
我把作品电路分为3个部分,这3个部分都是以我的实物为依据的,你当然可以有更好的创意来改进它。
一、 电源电路:
既然作品定位是礼物,所以电源一定要装在里面。
CD4017工作电压较高,加之彩灯使用环境是在室内的,所以我们考虑使用交流电源。3~5瓦的小型变压器即足够,要求变压器次级输出7~8V,这样经整流滤波后可得到系统需要的9~10V直流电压。
以下是该部分的原理图及实物图:
二、LOVE型生日彩灯:
1. 流水驱动电路:
NE555和CD4017的作用。NE555组成振荡电路,CD4017则是计数电路。
心形中间部分LED产生流动的效果,就是靠NE555和CD4017的组合。我在下面为初学者详细讲一下电路原理。
振荡电路由一块时基集成电路NE555和C2、C3、R1、R2等组成(其中C2为延时充电电容,C3为抗干扰隔离电容,R1、R2为延时充电电阻,而R2又为放电电阻)。
通电后,因电容C2两端电压不能突变,2脚的电压为低电平,集成块NE555的内部触发器被置位,3脚输出高电平。
同时,由于电源经电阻R1和R2向C2充电,使6脚和2脚的电压不断提高,当电位上升到VCC的2/3时,集成块NE555的内部触发器被复位,3脚的输出电压翻转为低电平。
同时集成块NE555内部的放电管导通,即7脚通过内部的放电管和1脚相通,C2上储存的电荷就通过R2、7脚放电,使6脚和2脚的电压不断下降,当电位降低到VCC的1/3时,集成块NE555的内部触发器被置位。
同时集成块NE555内部的放电管截止,7脚被悬空,电源又通过R1、R2向C2充电,使6脚和2脚的电压不断提高&&如此,周而复始,形成振荡。
输出端的高电平维持时间取决于电容C2的充电时间常数,输出端的低电平维持时间取决于电容C2的放电时间常数。由于R2&R1,故可以认为f放&f充,目的是减小彩灯熄亮交替的时间间隔的差异。
如用作其他情况,课按需要调整R1、R2、C2的参数。综上分析,3脚始终处于高电平和低电平的二进制变化状态,故此电路又称为无稳态电路。
译码电路由一块CD4017集成块组成。该集成块有3个输入端(2个输入端CP的14脚和EN的13脚与复位端Cr的15脚)。有10个输出端Q0~Q9(依次为3脚、2脚、4脚、7脚、10脚、1脚、5脚、6脚、9脚、11脚)。
还有一个进位端CO,其功能是:当复位端Cr加上高电平和正脉冲时,输出端Q0为高电平,其余9个输出端Q0~Q9均为低电平。时钟输出端CP对输入时钟脉冲的上升沿计数,EN则对时钟脉冲的下降沿计数。Q0~Q9这10个输出端的输出状态分别与输入的时钟个数相对应。
如从0开始计数,则输入到第1个时钟脉冲时,Q1就变成高电平,输入第2个时钟脉冲时,Q2变成高电平&&直到输入第10个时钟脉冲,Q0变为高电平。
同时,进位端C0就输出一个进位脉冲,作为下一级计数的时钟信号。Cr为复位端,也为清零端。当Cr输入高电平时,电路复位,即输出端Q0为高电平,Q1~Q9为低电平。
如此反复,只要集成块NE555的3脚送来的二进制信号不消失,CD4017将二进制信号转换为十进制信号的计码工作就会反复进行下去。
综上可知:调节可变电阻R2便可改变振荡电路的频率,反映在CD4017输出端则是流动的速率。
2. 布局及工作原理
关于这个LOVE型彩灯部分我画了一个直观的图,心形有30颗LED组成,但是CD4017只有10组输出,所以我把心形的顶部5颗LED和底部5颗LED独立开来,其他还有20颗LED则两两并联后由CD4017驱动,形成流水灯效果,Q0~Q9直接接到CD4017的对应端(两只高亮LED并联后工作电流在20mA以下,完全不必接三极管扩流驱动)。
顶部和底部独立出来的2路LED,电路完全相同,它们是将5颗LED串联后直接接在电源上,有朋友会问:那不是这两路LED都静止在那儿了?
正因为如此,所以我把LED1和LED30换成了多色自闪的LED(这也是高亮LED中的一种,市售价格会高一些,我记得当时买的是一块钱一颗)。这样处理之后,这两颗LED总是在闪,发出七彩光,同时因为它们在闪的过程中自身压降总在忽高忽低的交替变化,使得分别所处的这两条LED通路上的其他4颗LED的分压也发生变化,导致支路中的其他4颗LED会呈现亮度高低起伏的效果,恰到好处地陪衬了两颗闪烁的LED。
注意在上图中,除LED1和LED30以外的LED颜色按个人喜好编排,但一定要保证左右对称,不然会很丑。
三、数码管显示LOVE:
作品做出来后,发现心形彩灯的中间很空,看上去挺别扭,于是想到用数码管显示LOVE,当时不知道怎么样驱动数码管,所以直接将数码管焊死了,即需要哪些笔画亮就将其通电,当然这是最傻瓜的方法,但是对于初学者来说可能是达到预期效果的最好方法。该电路使用共阴数码管 。
硬件制作要点,我稍作一些解释
1、作为礼品,外观至关重要。不管作品是否采用我的这种结构,制作时一定要考虑到方不方便制作、固定外壳。
2、电路中的可调电阻R2,不要使用微调的,要用带手柄的(比如音量电位器那种),制作好之后不论是你自己调试还是礼品的主人,都可以调节LED流动速度。
以下是成品及效果图:
发布评论请先
来个作品参加比赛吧。
#define uint unsigned int
#define uchar unsigned char
sbit dula=P2^6;
sbit wela=P2^7;...
我用TI提供的流水灯,在TI的开发板上能运行。
然后我创建sysbios工程,简单的调用了
platform_led(i, PLATFORM_L...
[tr][td] /******************************************************************************
* 实验名& &...
[tr][td] 单片机流水灯程序
//程序能实现广告灯的往正反方向来回移动#inun...
[tr][td] 电脑发送大写A下位机显示流水灯。电脑发送大写字母B下位机数码管显示0-F./******************************...
[tr][td] 今天写了个一键多用的小程序,2种。数码管和流水灯显示。#include #include #define uint unsigned int#defin...
[tr][td]& && && &本人自己动手设计了一款“心形” 加 “I LOVE U ”字样的LED...
[tr][td]   一、八路流水灯原理图设计
  二、八路流水灯元件清单
[table=98%]
[tr][td=67]序号
[/td][td=2...
[tr][td]51单片机的P0口内部没有上拉电阻,所以P0在用作输出时要外接上拉电阻,一般取10k。
用Proteus画得原理图如...
[tr][td] 网上很多流水彩灯都是用的C语言,本贴使用的是汇编语言。
& && &&nbs...
使用C语言编写流水灯程序。
本文主要介绍了流水灯控制上下位机程序源码.
本文主要介绍了流水灯设计与制作解析。
FPGA diy作业实现8位LED输出向左的流水灯。
实现从右到左边的流水灯,间隔1s
D1、D2、D3、D4流水灯闪烁
asean的 FPGA DIY 流水灯视频
程序包含点亮 LED、LED 闪烁灯、流水灯、跑马灯
基于瑞萨Sakura实验板制作的流水灯。
这种流水灯是全亮之后,从右到左依次间隔0.8s灭之后右以0.5s的间隔灭。
使用Renesas官网提供的软件“Applilet3”快速设置IO口的类型为Out模式,参考RL78....
RL78的IO口的设置,与台湾笙泉公司的MA805芯片对IO的设置相似,但RL78的设置更为方便。
....
从流水灯开始学习RL78
本程序在RL78/G13系列的64pin16位单片机上,通过内部IT(Interval Timer)....
虽然P1口驱动12864还有问题,经常出现一些奇怪的波形。
但是驱动流水灯是没有问题的。
本实验....
利用P0.0-P0.3与P7.0-P7.3作为输出I/O口,另外在每个I/O口输出端串联了一个390....
本文档的主要内容详细介绍的是LED8位流水灯Verilog编程和应用程序的资料概述
该视频是实现间隔0.5s的流水灯。从右到左依次点亮。
本文档的主要内容是LPC2378流水灯的应用程序和资料的详细资料概述
心形流水灯,顾名思义,成品肯定是一个心形?。随着程序的改变,通过单片机控制单个灯的亮灭达到不同的流动....
一个简单流水灯9013流水灯的介绍和设计详细资料概述
 整个流水灯的电路由振荡电路、 译码电路和光源电路组成, 电路的组成包括 2 个电容、3 个电阻器和....
设计原理 : 在以后的设计中,用的开发板都将是我们至芯科技自主设计的开发板,我们的芯片用的是 Cyc....
这个是 网上一个兄弟分享给我的,本来很久没用了,但是越来越多的人给我发Email询问这个问题,我就分....
本文主要介绍cd4017电子制作电路图大全(单键触摸开关/幸运抽奖机/红绿灯/密码锁)。重点分析了用....
本文主要介绍了cd4017驱动数码管电路(LM8364/多通道电子开关/电容测量电路)。其中宽量程数....
本文主要介绍了简单定时电路图大全(计数器CD4029\TC9160\CD4017\继电器)。重复式定....
外部中断控制LED倒流水灯实验资料
CC2530的GPIO输出控制-Led闪烁、流水灯实验资料下载
又是流水灯!这次使用 74HC595 来扩展 IO 口。对于 arduino 来说,IO 口资源是很....
本文详细介绍了AT89C51单片机流水灯的编写方法以及介绍了单片机32位LED花样流水灯程序及原理图....
本文开始介绍了CD4017工作条件和CD4017极限值,其次介绍了cd4017工作原理与引脚图和功能....
本文介绍了五款跑马灯电路图。跑马灯又叫走马灯、串马灯。由毛竹编织成马头,马尾,属于灯笼的一种。
本文主要介绍了双稳态触发器电路图大全(三极管/CD4017/CD4013双D触发器)。双稳态触发器电....
又是流水灯!这次使用 74HC595 来扩展 IO 口。对于 arduino 来说,IO 口资源是很....
本文主要介绍了小型心形流水灯电路图大全(89c52/CD4017心形流水灯电路图)。NE555组成脉....
本文主要介绍了心形流水灯制作教程。NE555组成振荡电路,CD4017则是计数电路。心形中间部分LE....
PCI总线非隔离数字量1/0卡PlO-D64具有32路数字量输入通道和32路数字量输出通道。及6路定....
本文开始对CD4017功能与CD4017逻辑结构图进行了介绍,其次分别介绍了用CD4017和选择开关....
本文开始介绍了什么是逆变器、逆变器工作原理与作用,其次介绍了采用CD4047多谐振荡器的逆变电源电路....
CD4017是一种十进制计数器/脉冲分配器目前已经得到普遍运用。本文详细介绍了六款cd4017应用电....
本文开始介绍了cd4070是什么芯片、CD4017特点与CD4017工作条件,其次介绍了CD4017....
CD4017是目前广泛使用的一种十进制计数器/脉冲分配器。本文主要介绍了六款用cd4017制作流水灯....
本文首先介绍了CD4017工作条件、引脚图及功能与CD4017的真值表,其次介绍了cd4017工作原....
本文介绍了CD4017C集成电路的引脚功能,并通过逻辑计算,导出了约翰逊计数器输出的十进制计数状态和....
本文介绍了什么是电子密码锁与电子密码锁性能特点,其次介绍了四款用CD4017制作的详细电子密码电路。
供应链服务
版权所有 (C) 深圳华强聚丰电子科技有限公司
电信与信息服务业务经营许可证:粤B2-自装大屏幕LED数字钟--《电子制作》2001年05期
自装大屏幕LED数字钟
【摘要】:正 电路如图所示,该钟采用通用数字钟电路LM8365(或8363)。时基电路采用MM5369分频及3.579545MHz专用晶体,外壳新颖美观,走时精度高,工作稳定。
【分类号】:TH714.5
欢迎:、、)
支持CAJ、PDF文件格式,仅支持PDF格式
【相似文献】
中国期刊全文数据库
方明安;;[J];电子制作;2000年04期
张吉卫,王晓红;[J];电子世界;2005年04期
黄佩诚;[J];中国科学院上海天文台年刊;2004年00期
石学军;[J];电子世界;2004年09期
于莹莹;林喆;;[J];电大理工;2010年02期
胡文远;[J];现代通信;1994年03期
赵吉庆;[J];家用电器.消费;2000年06期
方国红;雷晨;孙艺玫;刘一萌;刘浩;;[J];东北地震研究;2009年03期
江雪山;;[J];家庭电子;2002年06期
刘旄光,李玲;[J];机电工程技术;2005年10期
中国重要会议论文全文数据库
李熹霖;;[A];2010全国LED显示应用技术交流暨产业发展研讨会文集[C];2010年
安永生;;[A];2010全国LED显示应用技术交流暨产业发展研讨会文集[C];2010年
徐永福;王小超;;[A];2010全国LED显示应用技术交流暨产业发展研讨会文集[C];2010年
安永生;;[A];2010全国LED显示应用技术交流暨产业发展研讨会文集[C];2010年
苗成;;[A];2010全国LED显示应用技术交流暨产业发展研讨会文集[C];2010年
邓少芝;陈宇;王喜杜;张璐;许宁生;佘峻聪;;[A];中国真空学会2008年学术年会论文摘要集[C];2008年
;[A];2006年全国LED显示技术应用及产业发展研讨会论文集[C];2006年
孙亚光;;[A];第九届全国发光学术会议摘要集[C];2001年
林松;;[A];第十一期全国体育场馆建设、维护与经营讲习班资料汇编[C];2008年
吕斐;黄远辉;董文晓;钟宬;;[A];2011西部光子学学术会议论文摘要集[C];2011年
中国重要报纸全文数据库
证券时报记者
苏言;[N];证券时报;2008年
李增辉?韩华山;[N];人民日报;2008年
解悦;[N];南京日报;2008年
任爱青;[N];中国电子报;2007年
徐磊;[N];中国高新技术产业导报;2008年
孙彦 通讯员
柳红;[N];中国气象报;2009年
林妍;[N];中国经济导报;2009年
胡雪良;[N];市场报;2007年
许伟;[N];中国电子报;2008年
蔡纯 记者
傅江平;[N];中国质量报;2009年
中国博士学位论文全文数据库
张磊;[D];电子科技大学;2010年
张鑫;[D];中国科学院研究生院(长春光学精密机械与物理研究所);2011年
刘雪强;[D];吉林大学;2008年
陈章进;[D];上海大学;2009年
朱向冰;[D];合肥工业大学;2006年
王希军;[D];吉林大学;2006年
付先成;[D];华中科技大学;2006年
刘素玲;[D];北京邮电大学;2008年
陆建钢;[D];浙江大学;2003年
谢小燕;[D];浙江大学;2009年
中国硕士学位论文全文数据库
刘建伟;[D];西安电子科技大学;2010年
黎永健;[D];暨南大学;2010年
张晓辉;[D];西南交通大学;2006年
刘延允;[D];广东工业大学;2011年
魏巍;[D];浙江大学;2006年
李玲玲;[D];河北科技大学;2011年
王辉;[D];西安建筑科技大学;2011年
梁晓霞;[D];西安电子科技大学;2010年
余欣;[D];郑州大学;2011年
李超然;[D];东北师范大学;2011年
&快捷付款方式
&订购知网充值卡
400-819-9993region-detail-title
CNT-85R铷钟时基频率计/校准器
region-detail-gallery
用阿里巴巴客户端扫码
手机下单享受额外优惠
region-detail-property
享受会员价、淘宝数据等更多权益
手机下单更便宜
瑞典Pendulum
(台可售)
申请已发出!
已选0台/0.00元
一般情况下:
划线价格:划线的价格可能是商品的销售指导价或该商品的曾经展示过的销售价等,并非原价,仅供参考。
未划线价格:未划线的价格是商品在阿里巴巴中国站上的销售标价,具体的成交价格根据商品参加活动,或因用户使用优惠券等发生变化,最终以订单结算页价格为准。
活动预热状态下:
划线价格:划线的价格是商品在目前活动预热状态下的销售标价,并非原价,具体的成交价可能因用户使用优惠券等发生变化,最终以订单结算页价格为准。
未划线价格:未划线的价格可能是商品即将参加活动的活动价,仅供参考,具体活动时的成交价可能因用户使用优惠券等发生变化,最终以活动是订单结算页价格为准。
*注:前述说明仅当出现价格比较时有效。若商家单独对划线价格进行说明的,以商家的表述为准。
联系卖家:
交易勋章:
经营模式:
所在地区:
广东 深圳市宝安区
&先生&(经理)
电&&&&&&话:
移动电话:
传&&&&&&真:
@88.com 版权所有请问在ucos中这个滴答时钟为系统提供一个时基,有什么作用_百度知道
请问在ucos中这个滴答时钟为系统提供一个时基,有什么作用
请问在ucos中这个滴答时钟为系统提供一个时基,有什么作用,如果没有这个函数,OSTimeDlyHMSMOSTimeDlyHMSM(0,0,0,500);怎么实现不了voidSysTick_Handler(void){OSIntEnter();OSTimeT...
请问在ucos中这个滴答时钟为系统提供一个时基,有什么作用,如果没有这个函数,OSTimeDlyHMSMOSTimeDlyHMSM(0, 0,0,500);怎么实现不了void SysTick_Handler(void){
OSIntEnter();
OSTimeTick();
OSIntExit(); }
答题抽奖
首次认真答题后
即可获得3次抽奖机会,100%中奖。
作为round robin schedule的时间片计算.作为Delay的计时. 满足条件后会将任务变为ready状态,下次任务调度就有可能会执行.这个中断非常重要,就像OS的发动机.
比较中断和溢出中断都可以,时间精度要求不高
为你推荐:
其他类似问题
个人、企业类
违法有害信息,请在下方选择后提交
色情、暴力
我们会通过消息、邮箱等方式尽快将举报结果通知您。豆丁微信公众号
君,已阅读到文档的结尾了呢~~
1GSPS数字存储示波表软件设计,手持示波表,示波表,加密数字存储设备,数字存储示波器,数字信息存储,数字设计,数字电路与逻辑设计,数字编码教学设计,数字化设计
扫扫二维码,随身浏览文档
手机或平板扫扫即可继续访问
1GSPS数字存储示波表软件设计
举报该文档为侵权文档。
举报该文档含有违规或不良信息。
反馈该文档无法正常浏览。
举报该文档为重复文档。
推荐理由:
将文档分享至:
分享完整地址
文档地址:
粘贴到BBS或博客
flash地址:
支持嵌入FLASH地址的网站使用
html代码:
&embed src='http://www.docin.com/DocinViewer--144.swf' width='100%' height='600' type=application/x-shockwave-flash ALLOWFULLSCREEN='true' ALLOWSCRIPTACCESS='always'&&/embed&
450px*300px480px*400px650px*490px
支持嵌入HTML代码的网站使用
您的内容已经提交成功
您所提交的内容需要审核后才能发布,请您等待!
3秒自动关闭窗口}

我要回帖

更多关于 集成块的作用 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信