led com多好还是lcd seg com多好

查看: 308389|回复: 15
一篇文章看清楚LED显示屏到底亮度低了好还是高了好,再也不要被忽悠
主题帖子积分
屏屏掌门, 积分 1590, 距离下一级还需 410 积分
屏屏掌门, 积分 1590, 距离下一级还需 410 积分
&&看文章之前首先我们要明白提高LED亮度有哪些途径,户外显示屏亮度高的优势在哪里?亮度低了又有哪些好处?
&&1:室内篇室内LED显示屏其实要求亮度低于800cd/㎡,因为是内光线较暗亮度过高我们会感觉刺眼不舒服,那么现在我们常规是内屏亮度有多少呢,小编告诉你大多数都在CD左右,有人问了这又是为啥呢?为啥不用小芯片降低成本,呵呵您又不懂了,室内显示屏要求低亮度/高灰度/无暗影,所谓低亮度就是亮度必须控制在800CD一下甚至更低,在符合室内的感官,高灰度就是我们在播放影片画面是由亮到暗的一个过度越细腻灰度越高,再说下暗影的问题,近年来因为显示屏价格急剧下滑渠道厂家不得不一而再再而三控制重本,削减材料导致如今市面上渠道产品70%都有暗影的存在,言归正传那么做到低于800CD亮度,不损失灰度能达到这个技术的目前国内也就,,了,就连福日电子旗下迈锐光电也因无法控制低亮高灰,在2015年福建某公安厅小间距招标被反标好了留着下次再讲。 2:户外LED显示屏篇LED产品的亮度取决于的质量和驱动电流的大小。一个低品质的LED如果有更高的驱动电流,在工作初期会比高品质的LED更亮,然而过高的电流会使LED发热过快,最终结果是亮度变暗或烧毁。有些生产厂家为了有利于销售,选用劣质芯片,采用提高驱动电流的方法来提高的亮度来误导购买者,但是这样会导致LED灯使用寿命大幅度缩短.& && & 而有些厂家大力宣传亮度低了好,代表厂家如,强力巨彩走渠道路线,所谓渠道路线就是批发低端为主,高端为辅助,那么既然是低端材料成本是必然要考虑的因素,在芯片使用上会采用小芯片来做,做为渠道企业又想做品牌必然不敢过分加大电流,那么就牺牲了产品的亮度体验,比如户外我们的LED显示屏要求亮度必须达到7000CD/㎡的亮度下,画面质量不受阳光因素而影响,那么比如强力巨彩为代表P10渠道版亮度在CD之间,这个亮度自然不会因提高电流而影响寿命,但是却影响了效果,还是一句老话一分价钱一分货,您买的是便宜货能保证不坏就不错了。& & 再说说高亮度吧,在 LED显示屏的选择上,户外当然亮度越高越好,但是需要一个与之匹配的驱动电流,使灯产生正常的亮度,才能保证LED灯的使用寿命。  再说说LED显示屏是节能产品,能省电费  LED能节能确实不假,有效转换率高达60%,相对比白炽灯20%那是高了很多,但是有句话叫做瘦死的骆驼超过马。这几年广告业飞速发展!LED也迅速火了起来!但LED大屏幕耗电是一个问题!功率大!一块100多平米的显示屏一年电费要一二十万!关键呀,面积太大了!!!  举例来说,常规的P16户外LED显示屏平均功率272W/㎡计算。假设每天用14小时,中国已经安装的1200万㎡,全中国户外LED显示屏一年的耗电量是167亿千瓦时,相当于三峡大坝约60天的发电量,相当于约850万吨原煤消耗,近1700万吨二氧化碳排放。  综上可知,针对户外LED显示屏产品,相对而言其耗电量还是相当大的,所以不能绝对地说户外LED显示屏真的能节能省电。目前,LED显示屏厂家也在着力研发节能的LED显示屏,我们也期待有更多优秀的节能LED显示屏产品的出现。 接下来我们欣赏一下三巨头的产品。利亚德北京朝外soho控制平台
1.jpg (52.86 KB, 下载次数: 0)
12:32 上传
psb0X0JTBNX.jpg (58.88 KB, 下载次数: 0)
12:38 上传
洲明光电最大山东P1.2
.jpg (67.88 KB, 下载次数: 0)
12:39 上传
上一篇:下一篇:
主题帖子积分
屏屏盟主, 积分 5916, 距离下一级还需 2972 积分
屏屏盟主, 积分 5916, 距离下一级还需 2972 积分
这上面提到强力,不是大牌子吗怎么看产品好像标准很低?
主题帖子积分
屏屏盟主, 积分 5665, 距离下一级还需 3223 积分
屏屏盟主, 积分 5665, 距离下一级还需 3223 积分
大牌子要看跟谁比,它属于低端产品,单双色为主!
主题帖子积分
屏屏宗师, 积分 3615, 距离下一级还需 1385 积分
屏屏宗师, 积分 3615, 距离下一级还需 1385 积分
还是利亚德,联建,洲明真正大牌子!
主题帖子积分
屏屏盟主, 积分 5914, 距离下一级还需 2974 积分
屏屏盟主, 积分 5914, 距离下一级还需 2974 积分
说的很不错,符合目前行业现状!
主题帖子积分
屏屏宗师, 积分 2579, 距离下一级还需 2421 积分
屏屏宗师, 积分 2579, 距离下一级还需 2421 积分
强力啊大力推荐户外亮度CD,人家艾比森万亮级,功耗比强力还小,这就是差距,技术差距,理念差距,品牌差距,不在一个层面上!
主题帖子积分
屏屏宗师, 积分 3973, 距离下一级还需 1027 积分
屏屏宗师, 积分 3973, 距离下一级还需 1027 积分
艾比森可以的!算是一线品牌!
主题帖子积分
屏屏宗师, 积分 4793, 距离下一级还需 207 积分
屏屏宗师, 积分 4793, 距离下一级还需 207 积分
我用用强力还可以,亮度确实要低一点!
主题帖子积分
屏屏宗师, 积分 2491, 距离下一级还需 2509 积分
屏屏宗师, 积分 2491, 距离下一级还需 2509 积分
2015全国LED显示百强一线品牌,前10位,二线品牌前30位 ,三线品牌30位以后!看看各公司属于哪个档次吧!
1北京光电股份有限公司2深圳光电股份有限公司3深圳科技股份有限公司4深圳光电股份有限公司5上海电子工程有限公司6深圳光电股份有限公司7深圳显示技术有限公司8深圳电子股份有限公司9南京洛普股份有限公司10西安股份有限公司11江西联创光电科技股份有限公司12湖北爱商光电股份有限公司13四川九州光电科技股份有限公司14深圳市大族元亨光电股份有限公司15深圳迈锐光电有限公司16深圳易事达光电有限公司17深圳市齐普光电子股份有限公司18深圳丽晶光电股份有限公司19深圳德彩光电有限公司20苏州东山精密制造股份有限公司21深圳有限公司22深圳视爵光旭电子有限公司23深圳显示技术有限公司24深圳蓝普科技有限公司25深圳晟昊光显电子有限公司26深圳康硕展电子有限公司27深圳市康佳壹视界商业显示有限公司28湖南新亚胜科技发展有限公司29深圳市奥蕾达科技有限公司30长春希达电子技术有限公司31深圳市光祥科技有限公司32汉创企业(中国)有限公司33洪海光电集团有限公司34深圳市华海诚信电子显示技术有限公司35厦门巨彩光电科技有限公司36山西长治高科华上光电有限公司37深圳通普科技有限公司38深圳市华夏光彩股份有限公司39深圳合利来科技有限公司40深圳市天合光电有限公司41深圳市科伦特科技有限公司42深圳市思科瑞光电科技有限公司43深圳市明兴光电子科技有限公司44深圳联诚发科技有限公司45黑龙江德彩光电有限公司46深圳阿里山集团有限公司47深圳金华光科技有限公司48深圳艾尼亚光电科技有限公司49深圳市精英光电有限公司50深圳市锐凌光电有限公司51深圳市亮彩科技有限公司52深圳大盛光电科技有限公司53大连世纪长城光电科技有限公司54福建祥云光电科技有限公司55深圳市巴科光电科技有限公司56深圳市联锦光电有限公司57深圳市格特隆光电有限公司58深圳韦侨顺光电有限公司59福建华杰.漳州彩亮有限公司60深圳市三鑫维科技有限公司61福建富顺光电科技股份有限公司62深圳星光恒辉科技有限公司63深圳赫尔诺电子技术有限公司64上海路东电子有限公司65石家庄京华电子实业有限公司66四川金达诺科技有限公司67深圳市联森光电有限公司68湖北匡通电子股份有限公司69福建晶彩光电有限公司70深圳市百一光电科技有限公司71深圳市锐视全彩科技有限公司72深圳佰斯德光电有限公司73深圳联腾科技有限公司74深圳市立翔慧科光电科技有限公司75西安威格光电科技有限公司76深圳顾通科技有限公司77广州市奥彩光电科技有限公司78深圳赛德光电有限公司79北京天马辉电子技术有限责任公司80郑州中原显示技术有限公司81深圳光耐尔科技公司82深圳市健炜创光电科技有限公司83福建华彩光电有限公司84深圳市元和丰光电科技有限公司85深圳市德建光电科技有限公司86北京首旭电子有限公司87北京澄通光电股份有限公司88湖南明和光电设备有限公司89深圳市国佳光电子有限公司90深圳市耕创电子科技有限公司91深圳市恒光达科技有限公司92深圳市美亚迪光电有限公司93江苏金明光电科技有限公司94深圳市明昌光电科技有限公司95深圳市德润赛尔光电有限公司96深圳三思高科光电有限公司97深圳市科美芯光电技术有限公司98深圳市鑫彩光电有限公司99深圳创彩源电子科技有限公司100深圳市高亮显示屏有限公司
主题帖子积分
屏屏盟主, 积分 5322, 距离下一级还需 3566 积分
屏屏盟主, 积分 5322, 距离下一级还需 3566 积分
不错不错楼上兄弟有见解!
手机扫一扫vivado_时序问题(2): led流水灯+SEG7显示 - 博客频道 - CSDN.NET
hyzzoe的博客
积累,总结,逻辑,推理,实现
分类:vivado
(lab1补完了,lab2再等等.有时间接着补..)
1. led流水灯一个键复位,一个键转换8种显示模式
2. 8个SEG7共阴极数码管(2*4组SEG7数码管的控制):
(1)实现同时8个数码管显示同一数字,一个键控制数字从0-F变换。
(2)实现8个数码管显示不同数字,根据345678…f0123456的顺序以1Hz左右的速度改变。
Verilog Code
General Code
debounce 按键防抖
只有当sig_in发生下降沿时,sig_out才会变为1,并且下一时刻就会返回到0。
需要注意的是,sig_out这样设置之下,只会持续一个clk周期,在很多情况下,这种sig_out的设置没有坏处。
`timescale 1ns / 1ps
module debounce(
input clk,
output sig_out
always @ (posedge clk)
q1 &= sig_
assign sig_out = q1 & q2 & (!q3);
Counter Divider
这是一种常用的时钟分频代码。当然如果不想一个counter太大的话,可以把一个counter分成两个(这部分代码在第二段)。
`timescale 1ns/1ps
module counter(
input clk,
input rst,
parameter max = 27'd100_000_000;
reg [27:0]
always @( posedge clk or posedge rst )
counter &= 27'd0;
else if( counter == max )
counter &= 27'd0;
counter &= counter + 1'b1;
assign clk_dps = counter == max? 1'b1: 1'b0;
module counter(
input clk,
input rst,
output clk_bps
reg [13:0]cnt_
reg [13:0]cnt_
always @( posedge clk or posedge rst )
cnt_first &= 14'd0;
else if( cnt_first == 14'd10000 )
cnt_first &= 14'd0;
cnt_first &= cnt_first + 1'b1;
always @( posedge clk or posedge rst )
cnt_second &= 14'd0;
else if( cnt_second == 14'd10000 )
cnt_second &= 14'd0;
else if( cnt_first == 14'd10000 )
cnt_second &= cnt_second + 1'b1;
assign clk_bps = cnt_second == 14'd10000 ? 1'b1 : 1'b0;
SEG7 共阴极 参数
这些参数实际上是共阳极SEG7的参数,使用的时候取反就可以。
parameter _0 = 8'hc0, _1 = 8'hf9, _2 = 8'ha4, _3 = 8'hb0;
parameter _4 = 8'h99, _5 = 8'h92, _6 = 8'h82, _7 = 8'hf8;
parameter _8 = 8'h80, _9 = 8'h90, _A = 8'h88, _b = 8'h83;
parameter _c = 8'hc6, _d = 8'ha1, _E = 8'h86, _F = 8'h8e;
LAB1:Light_flow 
flash_led_top.v
flash_led_crl.v
flash_button_crl.v
debounce.v
constrain.xdc
flash_led_top
这部分是8mode流水灯的顶层结构。
`timescale 1ns / 1ps
module flash_led_top(
input clk,
input rst_n,
input sw0, // button 1
input sw1, // button 2
output reg [15:0] led
wire clk_bps
assign rst = ~rst_n
wire [3:0] model
wire [15:0] buffer
wire button_1
wire button_2
always @(posedge clk)
led &= buffer
debounce debounce_1(
.clk( clk ),
.sig_in( sw0 ),
.sig_out( button_1)
debounce debounce_2(
.clk( clk ),
.sig_in( sw1 ),
.sig_out( button_2 )
counter counter(
.clk( clk ),
.rst( rst ),
.clk_bps( clk_bps )
flash_button_ctl flash_button_ctl(
.clk( clk ),
.btn_1( button_1 ),
.btn_2( button_2 ),
.model( model ))
flash_led_ctl flash_led_ctl(
.clk( clk ),
.rst( rst ),
.dir( model ),
.clk_bps( clk_bps ),
.led( buffer )
flash_led_crl
这部分是led显示mode的控制部分:有点绕的是有一两个部分我好像用了子母状态机=. =大概意思就是状态机里嵌套状态机..大家凑合看。有啥不明白的可以评论或者私信我。。不想写了
`timescale 1ns / 1ps
module flash_led_ctl(
input clk,
input rst,
input [3:0]dir,
input clk_bps,
output reg[15:0]led
reg [7:0] led_
reg [7:0] led_
reg [15:0] para_
always @( posedge clk or posedge rst )
led &= 16'h0000;
para_led &= 16'h0000;
led_first &= 8'h00;
led_second &= 8'h00;
flag &= 4'h0;
sub_flag &= 1'b0;
case( dir )
led &= 16'h0000;
if( clk_bps )
if( flag == 3'b000 )
flag &= 3'b001;
led &= 16'h8000;
else if( flag == 3'b001 )
if( led != 16'h0001 )
led &= led && 1'b1;
led &= 16'h8000;
flag &= 3'b000;
if( clk_bps )
if( flag == 3'b000 )
flag &= 3'b010;
led &= 16'h0001;
else if( flag == 3'b010 )
if( led != 16'h8000 )
led &= led && 1'b1;
led &= 16'h0001;
flag &= 3'b000;
if( clk_bps )
led &= {led_first, led_second};
if( flag == 3'b000 )
flag &= 3'b011;
led_first &= 8'h01;
led_second &= 8'h80;
else if( flag == 3'b011 )
if( led_first != 8'h80 && led_second != 8'h01 )
led_first &= led_first && 1'b1;
led_second &= led_second && 1'b1;
led_first &= 8'h01;
led_second &= 8'h80;
flag &= 3'b000;
led_first &= led_
led_second &= led_
if( clk_bps )
led &= {led_first, led_second};
if( flag == 3'b000 )
flag &= 3'b100;
led_first &= 8'h80;
led_second &= 8'h01;
else if( flag == 3'b100 )
if( led_first != 8'h01 && led_second != 8'h80 )
led_first &= led_first && 1'b1;
led_second &= led_second && 1'b1;
led_first &= 8'h80;
led_second &= 8'h01;
flag &= 3'b000;
led_first &= led_
led_second &= led_
if( clk_bps )
if( flag == 3'b000 )
flag &= 3'b101;
led &= 16'h8000;
para_led &= 16'h4000;
sub_flag &= 1'b0;
else if( flag == 3'b101 )
if( sub_flag == 1'b0 )
if( led != 16'hffff )
led &= led + para_
para_led &= para_led && 1'b1;
para_led &= 16'h4000;
sub_flag &= 1'b1;
if( led != 16'h8000 )
led &= led && 1'b1;
sub_flag &= 1'b0;
flag &= 3'b000;
if( clk_bps )
if( flag == 3'b000 )
flag &= 3'b110;
led &= 16'h0180;
led_first &= 8'h02;
led_second &= 8'h40;
sub_flag &= 1'b0;
else if( flag == 3'b110 )
if( sub_flag == 1'b0 )
if( led != 16'hffff )
led &= led + { led_first, led_second };
led_first &= led_first && 1'b1;
led_second &= led_second && 1'b1;
led_first &= 8'h80;
led_second &= 8'h01;
sub_flag &= 1'b1;
if( led != 16'h0180 )
led &= led - { led_first, led_second };
led_first &= led_first && 1'b1;
led_second &= led_second && 1'b1;
led_first &= 8'h02;
led_second &= 8'h40;
sub_flag &= 1'b0;
flag &= 3'b000;
if( clk_bps )
if( flag == 3'b000 )
flag &= 3'b111;
led &= 16'h8001;
led_first &= 8'h40;
led_second &= 8'h02;
sub_flag &= 1'b0;
else if( flag == 3'b111 )
if(sub_flag == 1'b0)
if( led != 16'hffff )
led &= led + { led_first, led_second };
led_first &= led_first && 1'b1;
led_second &= led_second && 1'b1;
sub_flag &= 1'b1;
led_first &= 8'h01;
led_second &= 8'h80;
if( led != 16'h8001 )
led &= led - { led_first, led_second };
led_first &= led_first && 1'b1;
led_second &= led_second && 1'b1;
sub_flag &= 1'b0;
led_first &= 8'h40;
led_second &= 8'h02;
flag &= 3'b000;
if( clk_bps )
case ( led )
16'h0000: led &= 16'
16'hffff: led &= 16'h0000;
default: led &= 16'h0000;
flash_button_crl
这部分就是简单的button状态转换机。
`timescale 1ns/1ps
module flash_button_ctl(
input clk,
input btn_1,
input btn_2,
output reg [3:0] model);
reg button_1;
reg button_2;
always @( posedge clk )
button_1 &= btn_1;
button_2 &= btn_2;
always @( posedge clk )
if( button_1 )
model &= 4'b0000;
else if( button_2 )
if( model == 4'b1000 )
model &= 4'b0000;
model &= model + 4'b0001;
同样只需要管脚约束。看我多好,把管脚约束都放上来了。亲民亲新手^^。同样只适用于EGO1。其他板子看响应硬件手册
set_property -dict {PACKAGE_PIN P17 IOSTANDARD LVCMOS33} [get_ports clk]
set_property -dict {PACKAGE_PIN P15 IOSTANDARD LVCMOS33} [get_ports rst_n]
set_property -dict {PACKAGE_PIN U4 IOSTANDARD LVCMOS33} [get_ports sw0]
set_property -dict {PACKAGE_PIN V1 IOSTANDARD LVCMOS33} [get_ports sw1]
set_property -dict {PACKAGE_PIN F6 IOSTANDARD LVCMOS33} [get_ports {led[0]}]
set_property -dict {PACKAGE_PIN G4 IOSTANDARD LVCMOS33} [get_ports {led[1]}]
set_property -dict {PACKAGE_PIN G3 IOSTANDARD LVCMOS33} [get_ports {led[2]}]
set_property -dict {PACKAGE_PIN J4 IOSTANDARD LVCMOS33} [get_ports {led[3]}]
set_property -dict {PACKAGE_PIN H4 IOSTANDARD LVCMOS33} [get_ports {led[4]}]
set_property -dict {PACKAGE_PIN J3 IOSTANDARD LVCMOS33} [get_ports {led[5]}]
set_property -dict {PACKAGE_PIN J2 IOSTANDARD LVCMOS33} [get_ports {led[6]}]
set_property -dict {PACKAGE_PIN K2 IOSTANDARD LVCMOS33} [get_ports {led[7]}]
set_property -dict {PACKAGE_PIN K1 IOSTANDARD LVCMOS33} [get_ports {led[8]}]
set_property -dict {PACKAGE_PIN H6 IOSTANDARD LVCMOS33} [get_ports {led[9]}]
set_property -dict {PACKAGE_PIN H5 IOSTANDARD LVCMOS33} [get_ports {led[10]}]
set_property -dict {PACKAGE_PIN J5 IOSTANDARD LVCMOS33} [get_ports {led[11]}]
set_property -dict {PACKAGE_PIN K6 IOSTANDARD LVCMOS33} [get_ports {led[12]}]
set_property -dict {PACKAGE_PIN L1 IOSTANDARD LVCMOS33} [get_ports {led[13]}]
set_property -dict {PACKAGE_PIN M1 IOSTANDARD LVCMOS33} [get_ports {led[14]}]
set_property -dict {PACKAGE_PIN K3 IOSTANDARD LVCMOS33} [get_ports {led[15]}]
LAB2:SEG显示数字
第一部分:显示同一数字 ###
排名:千里之外
(3)(1)(1)(1)(1)(1)21ic官方微信-->
后使用快捷导航没有帐号?
请完成以下验证码
查看: 5590|回复: 39
7个COM口扫描7段数码管,显示屏暗怎么解决
&&已结帖(20)
主题帖子积分
中级技术员, 积分 183, 距离下一级还需 117 积分
中级技术员, 积分 183, 距离下一级还需 117 积分
主题帖子积分
专家等级:结帖率:100%
主题帖子积分
中级技术员, 积分 183, 距离下一级还需 117 积分
中级技术员, 积分 183, 距离下一级还需 117 积分
情况是这样,数码管是7段共阴数码管,我每个COM口扫描时间为2ms,发现数码管显示比较暗,在强光下根本就看不清,请问有什么方法避免吗?
21ic公开课,21ic网友共同的学习圈子!学单片机、嵌入式、模拟、电源……就看这里
移步更多21ic独家微课:
主题帖子积分
技术总监, 积分 45423, 距离下一级还需 4577 积分
技术总监, 积分 45423, 距离下一级还需 4577 积分
主题帖子积分
专家等级:结帖率:81%打赏:0.00受赏:82.30
主题帖子积分
技术总监, 积分 45423, 距离下一级还需 4577 积分
技术总监, 积分 45423, 距离下一级还需 4577 积分
如果时间没“闲着”的话,那只能增大驱动电流。
21ic公开课,21ic网友共同的学习圈子!学单片机、嵌入式、模拟、电源……就看这里
移步更多21ic独家微课:
主题帖子积分
中级工程师, 积分 3003, 距离下一级还需 1997 积分
中级工程师, 积分 3003, 距离下一级还需 1997 积分
主题帖子积分
专家等级:结帖率:90%
主题帖子积分
中级工程师, 积分 3003, 距离下一级还需 1997 积分
中级工程师, 积分 3003, 距离下一级还需 1997 积分
如楼上所说,加大驱动电流
21ic公开课,21ic网友共同的学习圈子!学单片机、嵌入式、模拟、电源……就看这里
移步更多21ic独家微课:
主题帖子积分
主题帖子积分
专家等级:结帖率:96%打赏:9.18受赏:100.00
主题帖子积分
可以试试 加快扫描频率...
21ic公开课,21ic网友共同的学习圈子!
主题帖子积分
中级技术员, 积分 183, 距离下一级还需 117 积分
中级技术员, 积分 183, 距离下一级还需 117 积分
主题帖子积分
专家等级:结帖率:100%
主题帖子积分
中级技术员, 积分 183, 距离下一级还需 117 积分
中级技术员, 积分 183, 距离下一级还需 117 积分
扫描我改成1ms也没多到效果,按各位所说的,在不改动硬件的情况下是没有办法解决这个问题了。
21ic公开课,21ic网友共同的学习圈子!学单片机、嵌入式、模拟、电源……就看这里
移步更多21ic独家微课:
主题帖子积分
主题帖子积分
专家等级:结帖率:98%打赏:0.10受赏:76.30
主题帖子积分
改共阳的亮度会提高点的
不过你的数码管尺寸多大?要想强光下可见 最好还是改静态驱动,亮度是保证的
上海麟凰电子科技有限公司
主题帖子积分
中级技术员, 积分 183, 距离下一级还需 117 积分
中级技术员, 积分 183, 距离下一级还需 117 积分
主题帖子积分
专家等级:结帖率:100%
主题帖子积分
中级技术员, 积分 183, 距离下一级还需 117 积分
中级技术员, 积分 183, 距离下一级还需 117 积分
硬件电路是客户提供出来的,也不知道能不能改,我都想让他直接用1668来驱动的。
21ic公开课,21ic网友共同的学习圈子!学单片机、嵌入式、模拟、电源……就看这里
移步更多21ic独家微课:
主题帖子积分
高级技术员, 积分 526, 距离下一级还需 474 积分
高级技术员, 积分 526, 距离下一级还需 474 积分
主题帖子积分
专家等级:结帖率:100%
主题帖子积分
高级技术员, 积分 526, 距离下一级还需 474 积分
高级技术员, 积分 526, 距离下一级还需 474 积分
你把扫描频率调低些试试,
21ic公开课,21ic网友共同的学习圈子!学单片机、嵌入式、模拟、电源……就看这里
移步更多21ic独家微课:
主题帖子积分
中级技术员, 积分 183, 距离下一级还需 117 积分
中级技术员, 积分 183, 距离下一级还需 117 积分
主题帖子积分
专家等级:结帖率:100%
主题帖子积分
中级技术员, 积分 183, 距离下一级还需 117 积分
中级技术员, 积分 183, 距离下一级还需 117 积分
1ms~2.5ms都试过,效果不明显。不知像楼上大侠讲的怎么样增大驱动电流。是每个COM口加3极管吗?硬件不动的情况下软件有无好的办法呢?
21ic公开课,21ic网友共同的学习圈子!学单片机、嵌入式、模拟、电源……就看这里
移步更多21ic独家微课:
主题帖子积分
技术总监, 积分 21148, 距离下一级还需 28852 积分
技术总监, 积分 21148, 距离下一级还需 28852 积分
主题帖子积分
专家等级:结帖率:100%
主题帖子积分
技术总监, 积分 21148, 距离下一级还需 28852 积分
技术总监, 积分 21148, 距离下一级还需 28852 积分
把段的限流电阻改小
21ic公开课,21ic网友共同的学习圈子!学单片机、嵌入式、模拟、电源……就看这里
移步更多21ic独家微课:
主题帖子积分
主题帖子积分
专家等级:结帖率:97%打赏:0.00受赏:38.00
主题帖子积分
1ms~2.5ms都试过,效果不明显。不知像楼上大侠讲的怎么样增大驱动电流。是每个COM口加3极管吗?硬件不动的情况下软件有无好的办法呢?
cbs110 发表于
用50mS除以你的数码管个数,作为每个COM的扫描时间试一下呢,人家是让你扫慢点,不是让你扫快点,越快越暗。
++++看NE5532教学视频,炼就工程技术研发功力++++++++++
主题帖子积分
技术总监, 积分 21148, 距离下一级还需 28852 积分
技术总监, 积分 21148, 距离下一级还需 28852 积分
主题帖子积分
专家等级:结帖率:100%
主题帖子积分
技术总监, 积分 21148, 距离下一级还需 28852 积分
技术总监, 积分 21148, 距离下一级还需 28852 积分
连续扫描的话(COM7扫完后马上扫COM1),扫快点扫慢点,亮度没区别
21ic公开课,21ic网友共同的学习圈子!学单片机、嵌入式、模拟、电源……就看这里
移步更多21ic独家微课:
主题帖子积分
高级技术员, 积分 526, 距离下一级还需 474 积分
高级技术员, 积分 526, 距离下一级还需 474 积分
主题帖子积分
专家等级:结帖率:100%
主题帖子积分
高级技术员, 积分 526, 距离下一级还需 474 积分
高级技术员, 积分 526, 距离下一级还需 474 积分
从给信号到数码管发光被人眼看到是需要时间的,
所以,建议在看不出闪烁的前提下,尽量延长扫描周期、连续扫描。请再试试看。
21ic公开课,21ic网友共同的学习圈子!学单片机、嵌入式、模拟、电源……就看这里
移步更多21ic独家微课:
主题帖子积分
中级技术员, 积分 183, 距离下一级还需 117 积分
中级技术员, 积分 183, 距离下一级还需 117 积分
主题帖子积分
专家等级:结帖率:100%
主题帖子积分
中级技术员, 积分 183, 距离下一级还需 117 积分
中级技术员, 积分 183, 距离下一级还需 117 积分
对,是连续扫描的,COM口上限流电阻为510R,我将电阻改小点试下。
21ic公开课,21ic网友共同的学习圈子!学单片机、嵌入式、模拟、电源……就看这里
移步更多21ic独家微课:
主题帖子积分
高级技术员, 积分 803, 距离下一级还需 197 积分
高级技术员, 积分 803, 距离下一级还需 197 积分
主题帖子积分
专家等级:结帖率:100%
主题帖子积分
高级技术员, 积分 803, 距离下一级还需 197 积分
高级技术员, 积分 803, 距离下一级还需 197 积分
提高扫描电压的峰值,以提高Vrms。
21ic公开课,21ic网友共同的学习圈子!学单片机、嵌入式、模拟、电源……就看这里
移步更多21ic独家微课:
主题帖子积分
主题帖子积分
专家等级:结帖率:94%
主题帖子积分
LED亮度取决3个方面:
1、LED本身是否高亮、超高亮;
2、驱动电流;(每段的电流最大一般可达到20ma,如果没到,可以把限流电阻改小。)
3、驱动占空比。(楼上几个帖子中提到扫描时间,其实不是关键,关键是占空比。7个COM口,意味着最高占空也就是1/7,请楼主检查是否达到。如果已经达到,则这条无法继续改进。)
主题帖子积分
中级技术员, 积分 183, 距离下一级还需 117 积分
中级技术员, 积分 183, 距离下一级还需 117 积分
主题帖子积分
专家等级:结帖率:100%
主题帖子积分
中级技术员, 积分 183, 距离下一级还需 117 积分
中级技术员, 积分 183, 距离下一级还需 117 积分
SEG口是直接连上I/O口的,COM口接有510R,是共阴数码管,显示屏幕亮度估计本身也不是很亮,本来是些小产品,都是为了省成本,7个COM口是循环扫描的,是这样,本来是两组数码管,每组3个‘8’,即6个COM,另外他有两个SEG用做按键输入,所以我用的是分时。
21ic公开课,21ic网友共同的学习圈子!学单片机、嵌入式、模拟、电源……就看这里
移步更多21ic独家微课:
主题帖子积分
初级工程师, 积分 2377, 距离下一级还需 623 积分
初级工程师, 积分 2377, 距离下一级还需 623 积分
主题帖子积分
专家等级:结帖率:33%打赏:8.88受赏:0.00
主题帖子积分
初级工程师, 积分 2377, 距离下一级还需 623 积分
初级工程师, 积分 2377, 距离下一级还需 623 积分
这种玩意,
限流电阻最好不要接在com,应接在段。
否则~8和1的亮度,,想想就知道了。
根据你的介绍,有两个段是按键输入。
用分时处理显示和按键输入。
那样占空比肯定得不到最大,而且按键按下的时候对显示会有影响。
要么换按键i/o,
要么调整时间比例,保证不丢按键的情况下减小按键的扫描比例。减小限流电阻。
21ic公开课,21ic网友共同的学习圈子!学单片机、嵌入式、模拟、电源……就看这里
移步更多21ic独家微课:
主题帖子积分
中级技术员, 积分 183, 距离下一级还需 117 积分
中级技术员, 积分 183, 距离下一级还需 117 积分
主题帖子积分
专家等级:结帖率:100%
主题帖子积分
中级技术员, 积分 183, 距离下一级还需 117 积分
中级技术员, 积分 183, 距离下一级还需 117 积分
对,就是你说的这样,led扫描占空比不是最大的,单独按一个键的话, 对显示是没影响,但同时按两个键是会影响到显示。
21ic公开课,21ic网友共同的学习圈子!学单片机、嵌入式、模拟、电源……就看这里
移步更多21ic独家微课:
主题帖子积分
主题帖子积分
专家等级:结帖率:94%
主题帖子积分
SEG口是直接连上I/O口的,COM口接有510R,是共阴数码管,显示屏幕亮度估计本身也不是很亮,本来是些小产品,都是为了省成本,7个COM口是循环扫描的,是这样,本来是两组数码管,每组3个‘8’,即6个COM,另外他有两 ...
cbs110 发表于
com口接电阻?恐怕无法达到最大驱动电流
技术高手奖章
人才类勋章
荣誉元老奖章
等级类勋章
坚毅之洋流
发帖类勋章
时间类勋章
技术领袖奖章
人才类勋章
精华达人奖章
等级类勋章
湍急之河流
发帖类勋章
时间类勋章
技术导师奖章
人才类勋章
核心会员奖章
等级类勋章
终身成就奖章
等级类勋章
涓涓之细流
发帖类勋章
技术奇才奖章
人才类勋章
时间类勋章
热门推荐 /2}

我要回帖

更多关于 lcd的seg和com 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信