fpga prototyping by fpga图像采集verilogg examples这本书怎么样

Verilog技术社区_电路设计的高级语言,硬件开发必备
verilog中不可被综合的语句汇总……
Verilog 代码……
verilog HDL 教程 经典教程……
FPGA Prototyping By Verilog Examples……
Verilog 代码风格规范XXXX
Verilog HDL 代码……
VerilogHDL那些事儿-整合篇……
Verilog_HDL_那些事儿_时序篇v2……
VerilogHDL那些事儿_建模篇(for DB4CE15)……
设计与验证-Verilog HDL
A Verilog HDL Test Bench PrimerA Ve……
华为_大规模逻辑设计指导书……
从零开始走进FPGA世界……
此套FPGA视频教程由华清远见FPGA师资团队老师精心制作,非常适合FPGA初学……
此套FPGA视频教程由华清远见FPGA师资团队老师精心制作,非常适合FPGA初学……
xilinx-FPGA快速入门教程下载'……
此套FPGA视频教程由华清远见FPGA师资团队老师精心制作,非常适合FPGA初学……
此套FPGA视频教程由华清远见FPGA师资团队老师精心制作,非常适合FPGA初学……
从零开始走进FPGA世界……
这里是我收集到的五个ARM处理器核心源代码,有两个VHDL写的三个verilog……
Copyright (C) ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.后使用快捷导航没有帐号?
查看: 5571|回复: 9
对VGA控制器有详细介绍的两本外文书籍
在线时间5 小时
威望120 分
芯币118 枚
TA的帖子TA的资源
一粒金砂(中级), 积分 120, 距离下一级还需 80 积分
一粒金砂(中级), 积分 120, 距离下一级还需 80 积分
这两本书对VGA控制器有详细的说明,有图形和字符显示方式一本是 FPGA Prototyping by Verilog Examples 还有FPGA Prototyping by VHDL Examples
还有一本是Rapid Prototyping of Digital Systems
本帖子中包含更多资源
才可以下载或查看,没有帐号?
在线时间9 小时
TA的帖子TA的资源
一粒金砂(中级), 积分 20, 距离下一级还需 180 积分
一粒金砂(中级), 积分 20, 距离下一级还需 180 积分
谢谢分享,下载来学习一下
在线时间8 小时
TA的帖子TA的资源
一粒金砂(中级), 积分 10, 距离下一级还需 190 积分
一粒金砂(中级), 积分 10, 距离下一级还需 190 积分
在线时间42 小时
芯币153 枚
TA的帖子TA的资源
一粒金砂(中级), 积分 8, 距离下一级还需 192 积分
一粒金砂(中级), 积分 8, 距离下一级还需 192 积分
在线时间1 小时
TA的帖子TA的资源
一粒金砂(初级), 积分 0, 距离下一级还需 5 积分
一粒金砂(初级), 积分 0, 距离下一级还需 5 积分
THANKS FOR SHARING.
在线时间263 小时
威望3388 分
芯币2488 枚
E金币29 枚
TA的帖子TA的资源
五彩晶圆(初级), 积分 3388, 距离下一级还需 112 积分
五彩晶圆(初级), 积分 3388, 距离下一级还需 112 积分
实在是太牛逼了啊!
在线时间34 小时
TA的帖子TA的资源
一粒金砂(初级), 积分 2, 距离下一级还需 3 积分
一粒金砂(初级), 积分 2, 距离下一级还需 3 积分
在线时间0 小时
TA的帖子TA的资源
一粒金砂(初级), 积分 0, 距离下一级还需 5 积分
一粒金砂(初级), 积分 0, 距离下一级还需 5 积分
在线时间10 小时
TA的帖子TA的资源
一粒金砂(初级), 积分 0, 距离下一级还需 5 积分
一粒金砂(初级), 积分 0, 距离下一级还需 5 积分
多谢分享。
在线时间30 小时
威望498 分
芯币130 枚
TA的帖子TA的资源
一粒金砂(高级), 积分 498, 距离下一级还需 2 积分
一粒金砂(高级), 积分 498, 距离下一级还需 2 积分
发表于 4&天前
没书啊,我还以为有书呢
Powered by
逛了这许久,何不进去瞧瞧?本帖最后由 csgood 于
23:03 编辑
自己顶一下,再发一个VHDL 版本的书
下载次数:521
下载需要消耗: 3 信元,如果是重复下载只需消耗: 1信元
下载次数:631
下载需要消耗: 3 信元,如果是重复下载只需消耗: 1信元
下载次数:607
下载需要消耗: 3 信元,如果是重复下载只需消耗: 1信元
下载次数:506
下载需要消耗: 2 信元,如果是重复下载只需消耗: 1信元
UID24801&帖子51&精华0&积分168&资产168 信元&发贴收入175 信元&推广收入0 信元&附件收入200 信元&下载支出314 信元&阅读权限10&在线时间6 小时&注册时间&最后登录&
it is needed for all the new guys
UID95718&帖子105&精华0&积分26299&资产26299 信元&发贴收入590 信元&推广收入0 信元&附件收入26873 信元&下载支出1234 信元&阅读权限80&在线时间322 小时&注册时间&最后登录&
it is needed for all the new guys
lasercooler 发表于
是的,我当时就是先做了一个keyboard interface,再做了一个VGA显示module,最后把两个结合,做了一个经典小游戏pong,类似跟电脑打乒乓球
UID145083&帖子1446&精华0&积分142&资产142 信元&发贴收入8495 信元&推广收入0 信元&附件收入0 信元&下载支出8383 信元&阅读权限10&在线时间241 小时&注册时间&最后登录&
楼主很赞的说……
UID145083&帖子1446&精华0&积分142&资产142 信元&发贴收入8495 信元&推广收入0 信元&附件收入0 信元&下载支出8383 信元&阅读权限10&在线时间241 小时&注册时间&最后登录&
it is needed for all the new guys
UID340969&帖子825&精华0&积分998&资产998 信元&发贴收入4335 信元&推广收入0 信元&附件收入2163 信元&下载支出5575 信元&阅读权限30&在线时间161 小时&注册时间&最后登录&
回帖回帖回帖回帖回帖
UID145083&帖子1446&精华0&积分142&资产142 信元&发贴收入8495 信元&推广收入0 信元&附件收入0 信元&下载支出8383 信元&阅读权限10&在线时间241 小时&注册时间&最后登录&
it is needed for all the new guys
UID145083&帖子1446&精华0&积分142&资产142 信元&发贴收入8495 信元&推广收入0 信元&附件收入0 信元&下载支出8383 信元&阅读权限10&在线时间241 小时&注册时间&最后登录&
it is needed for all the new guys
UID145083&帖子1446&精华0&积分142&资产142 信元&发贴收入8495 信元&推广收入0 信元&附件收入0 信元&下载支出8383 信元&阅读权限10&在线时间241 小时&注册时间&最后登录&
it is needed for all the new guys
[通过 QQ、MSN 分享给朋友]
好福利!TI电源、驱动、运放等芯片免费样片大放送了!申请样片奖励500信元!114网址导航}

我要回帖

更多关于 iic fpga verilog 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信