36.65178万用50.5万元大写怎么写写

当前位置: >>
目录第一章 系统安装与启动..................................................................................................................... 2 第二章 MCS-51 单片机硬件接口实验部分............
............................................................................ 3 实验一 I/O 口输入、输出实验.................................................................................................. 3 实验二 扩展输入、输出实验..................................................................................................... 5 实验三 扩展存储器读写实验..................................................................................................... 7 实验四 FlashMemory 读写实验.................................................................................................. 9 实验五 定时/计数器实验.......................................................................................................... 11 实验六 8253 定时/计数器实验................................................................................................. 12 实验七 外部中断实验............................................................................................................... 14 实验八 串转并与并转串实验................................................................................................... 16 实验九 串行静态数码显示实验............................................................................................... 18 实验十 8255 输入、输出实验 .................................................................................................. 20 实验十一 8255 控制键盘与显示实验 ...................................................................................... 22 实验十二 8279 控制键盘与显示实验 ...................................................................................... 24 实验十三 音频驱动实验........................................................................................................... 26 实验十四 LED 点阵显示实验.................................................................................................... 29 实验十五 LCD 显示实验............................................................................................................ 31 实验十六 电子钟实验............................................................................................................... 33 实验十七 电子琴实验............................................................................................................... 34 实验十八 交通灯控制实验....................................................................................................... 35 实验十九 单片机串行口与 PC 机通信实验 ............................................................................. 37 实验二十 8251 可编程串行口与 PC 机通信实验 .................................................................... 39 实验二十一 并行 A/D 转换实验............................................................................................... 41 实验二十二 并行 D/A 转换实验............................................................................................... 43 实验二十三 串行 A/D 转换实验............................................................................................... 45 实验二十四 串行 D/A 转换实验............................................................................................... 47 实验二十五 并行打印机实验(选) ....................................................................................... 49 2 实验二十六 I C 串行 EEPROM 读写实验 ................................................................................... 51 实验二十七 IC 卡读写实验 ...................................................................................................... 56 第三章 MCS-51 单片机应用实验部分.............................................................................................. 60 实验二十八 V/F 转换与 F/V 转换实验 .................................................................................... 60 实验二十九 语音芯片控制实验............................................................................................... 63 实验三十 日历时钟芯片控制实验 ........................................................................................... 68 实验三十一 直流电机测速与控制实验 ................................................................................... 77 实验三十二 步进电机控制实验............................................................................................... 79 实验三十三 压力测量显示实验............................................................................................... 81 实验三十四 温度传感器与温度控制实验 ............................................................................... 83 实验三十五 红外数据发送与接收实验 ................................................................................... 85 实验三十六 485 通信实验........................................................................................................ 88 实验三十七 CAN 总线通信实验............................................................................................... 90 实验三十八 USB 总线通信实验............................................................................................... 941 第一章一、实验系统工作在 51 实验模式系统安装与启动当用户需要进行 MCS-51 单片机实验内容时,应进入这种工作模式。 1)将 JD2(CPU 插座)与 JD3(CPU51 插座)用“CPU 转接板”连接起来,JD1(CPU88 插座) 空置。 2) 用配套的串行通讯电缆, 将 9 芯电缆的一端与实验机上 (CPU 模块处) 的 9 芯插座 SCOM1 相连,另一端与 PC 机的串行口相连。 3)先打开电源开关,再打开直流开关,在 PC 机上打开 THGMW-51 软件,运行实验程序,具 体操作参见本实验指导书后面章节内容。 二、51 实验模式下各开关和跳线器的初始状态设置 1)A1 区直流开关:实验内容若不用到 A 区模拟模块,则开关置位在下方,模拟模块电源 为关闭状态。 2)B2 区 JT1B 跳线器:短路帽置位在左边,LED 点阵显示模块电源为关闭状态。 3)B3 区 JT2B 跳线器:短路帽全部置位在下方,LCD 液晶显示模块电源、背光为关闭状态。 4)C1 区 JT1C 跳线器和 C3 区 JT2C 跳线器的短路帽位置随意,由相关实验决定。 5)C3 区 JT3C 跳线器:短路帽置位在左边,语音接口模块电源为关闭状态。 6)E4 区 JT1E 跳线器:两只短路帽置位在左边,八位逻辑电平输出有效。 7)E7 区 S11E、S12E 开关:开关全部置位上方(ON) ,由 8279 来控制键盘、显示。 8)F3 区 JT1、JT2 跳线器:短路帽全部置位在上方。 9)A5 区 S4A 多位开关:开关置位最右端(温度控制) ,做温度控制实验用。2 第二章MCS-51 单片机硬件接口实验部分实验一 I/O 口输入、输出实验一、实验目的 掌握单片机P1口、P3口的使用方法。 二、实验内容 以 P1 口为输出口,接八位逻辑电平显示,LED 显示跑马灯效果。以 P3 口为输入口,接八 位逻辑电平输出,用来控制跑马灯的方向。 三、实验要求 根据实验内容编写一个程序,并在实验仪上调试和验证。 四、实验说明和电路原理图 P1口是准双向口,它作为输出口时与一般的双向口使用方法相同。由准双向口结构可知当 P1口作为输入口时, 必须先对它置高电平使内部MOS管截止。 因为内部上拉电阻阻值是20K~40K, 故不会对外部输入产生影响。若不先对它置高,且原来是低电平,则MOS管导通,读入的数据是 不正确的。 本实验需要用到CPU模块(F3区)和八位逻辑电平输出模块(E4区)和八位逻辑电平显示模 块 (B5区) , 八位逻辑电平输出电路原理图参见图1-1。 八位逻辑电平显示电路原理图参见图1-2。K0K1K2KH1H1H13H1P8E1P9E1P10E112K02K12K22K22233323K03K13K2333KP11ELLLS3ES4ES5EK4K5K6KS6EH1H1H17HL1P12E1P13E1P14E112K42K52K62K22233323K43K53K6337KP15ELLLS7ES8ES9ER9ES10EL731001R10E0KVCC1K2K3KJD1E4K5K6K7K图1-1 八位逻辑电平输出38765432100 VCCU11B201VCCVCCLRP3BVCCEOE1121LED1CL023L0JD2BL02Q1D11P31BL3LED2CL154L1L13Q2D22P32BL4LED3CL267L2L24Q3D33P33BL5LED4CL398L3L35Q4D44P34BL6LED5CL41213L4L46Q5D55P35BL7LED6CL51514L5L57Q6D66P36BL8LED7CL61617L6L68Q7D77P37BL9LED8CL71918L7L79Q8D88P38BL5101GN图1-2 八位逻辑电平显示 五、实验预习要求 学习教材的相关内容,根据实验要求画出程序流程图,写出实验程序。 六、实验步骤 1)系统各跳线器处在初始设置状态。 用导线连接八位逻辑电平输出模块的 K0 到 CPU 模块的 RXD(P3.0 口) ; 用 8 位数据线连接八位逻辑电平显示模块的 JD4B 到 CPU 模块的 JD8(P1 口)。 2)启动 PC 机,打开 THGMW-51 软件,输入源程序,并编译源程序。编译无误后,下载程序 运行。 3)观察发光二极管显示跑马灯效果,拨动 K0 可改变跑马灯的方向。 七、实验参考程序 本实验参考程序“1_Port.ASM”位于THGMW软件文件夹下THGMW\Exp\51文件夹。74LS3734D076543210 实验二一、实验目的扩展输入、输出实验1.掌握单片机P0口扩展74LS244输入数据的方法。 2.掌握单片机P0口扩展74LS273输出数据的方法。 二、实验内容 P0 口扩展 74LS244 输入数据,P0 口扩展 74LS273 输出数据。输入端接八位逻辑电平输出, 输出端接八位逻辑电平显示,编写一个程序,读入开关状态并输出显示。 三、实验要求 根据实验内容编写一个程序,并在实验仪上调试和验证。 四、实验说明和电路原理图 当 P0 口总线负载达到或超出 P0 最大负载能力 8 个 TTL 门时,必须接入总线驱动器。 1.74LS244 介绍:74LS244 是三态输出的八缓冲器,由 2 组、每组四路输入、输出构成。每 组有一个控制端,由控制端的高或低电平决定该组数据被接通还是断开。74LS244 的引脚如图 2-1A 所示。图 2-1A74LS244图 2-1B 74LS2732.74LS273 介绍:74LS273 是八 D 型触发器,带清除端。本实验用 74LS273 输出数据,通过 片选信号和写信号将数据总线上的值锁存在 74LS273 中, 同时在 74LS273 的输出端口输出数据, 当数据总线上的值撤消以后,由于 74LS273 能锁存信号,74LS273 的输出端保持不变,直到有 新的数据被锁存。74LS273 的引脚如图 2-1B 所示。/RD_IC 4 74LS32 U4C 6 1 2 VCC P13C 1OE VCC 0 5 U5CB 1 9 CS_244 R3C 2 2OE 1 84.7K11A141Y11621A261Y21431A3VCC81Y31241A4111Y4952A1132Y1762A2152Y2572A3172Y3382A4JD2C10GN图2-2 74LS244扩展输入电路574LS244D2Y4ICAD7ICAD6ICAD5ICAD4ICAD3ICAD2ICAD1ICAD0 3.本实验需要用到CPU模块(F3区)、八位逻辑电平输出模块(E4区)、八位逻辑电平显示 模块(B5区) 、扩展输入模块(F2区) 、扩展输出模块(F1区)。扩展输入电路原理图参见图2-2, 扩展输出电路原理图参见图2-3。VCC U3C /WR_IC 1 74LS32 1 2 VCC 0 RST 3 1 P12C 1 2 ICAD0 3 CLK VCC CS_273 R2C U5CA D 0 Q 0 2ICAD144.7KD1Q15ICAD27D2Q26ICAD38D3Q39VCCVCCICAD4131D4Q42ICAD5141D5Q55ICAD6171D6Q66C2CICAD7181D7Q790.1uF10GN图2-3 74LS273扩展输出电路 五、实验预习要求 学习教材的相关内容,根据实验要求画出程序流程图,写出实验程序。 六、实验步骤 1)系统各跳线器处在初始设置状态。 用 8 位数据线连接八位逻辑电平输出模块的 JD1E 到扩展输入模块的 JD2C; 八位逻辑电平显示模块的 JD4B 到扩展输 B 出模块的 JD1C; 用导线连接扩展输入模块的 CS_244 到 CPU 模块的 8000H (面板标注为 8000, 其它片选 地址标注亦与此类同) ; 扩展输出模块的 CS_273 到 CPU 模块的 8100H。 2)启动 PC 机,打开 THGMW-51 软件,输入源程序,并编译源程序。编译无误后,下载程序 运行。 3)观察发光二极管的亮灭与拨动开关的状态是否一致。设拨动开关拨下输出为低电平,发 光二极管点亮。改变拨动开关的状态,发光二极管的亮灭随之变化。 七、实验参考程序 本实验参考程序“2_In_Out.ASM”位于THGMW软件文件夹下THGMW\Exp\51文件夹。674LS273DJD1C87654321 实验三一、实验目的扩展存储器读写实验1.掌握 51 单片机扩展外部 RAM 的方法。 2.掌握 SRAM 62256 读写数据的方法。 二、实验内容 对片外存贮器中一固定地址单元进行读写操作,并比较读写结果是否一致。不一致则说明 读写操作不可靠或该存储器单元不可靠,程序转入出错处理代码段(本示例程序通过熄灭一个 发光二极管来表示出错) 。读写数据的选用,可采用的是 55()与 AA() 。 一般采用这两个数据的读写操作就可查出数据总线的短路、断路等,在实际调试电路时非常有 效。 用户调试该程序时,可以灵活使用单步、断点和变量观察的等方法,来观察程序执行的流 程和各中间变量的值。 三、实验要求 根据实验内容编写一个程序,并在实验仪上调试和验证。 四、实验说明和电路原理图 MCS-51 单片机内有 128B 的 RAM, 只能存放少量数据, 对一般小型系统和无需存放大量数据 的系统已能满足要求。对于大型应用系统和需要存放大量数据的系统,则需要进行片外扩展 RAM。 MCS-51 单片机在片外扩展 RAM 的地址空间为 0000H~FFFFH 共 64KB。 读写外 RAM 时用 MOVX 指令,用 RD 选通 RAM OE 端,用 WR 选通 RAM WE 端。本实验使用 SRAM 62256 芯片进行片外 RAM 扩展。62256 具有 32KB(256Kbit)空间,因此它需要 15 位地址(A0~A14) 。62256 的全部地 址空间为 0000H~7FFFH。 本实验需要用到CPU模块(F3区)和SRAM模块(B7区)。SRAM扩展电路原理图参见图3-1。ICAD0 1 1 U15B 1 0 A 0 D 0 A ICAD1 1 2 0 9 A D 1 A ICAD2 1 3 1 8 A D 2 A ICAD3 1 5 2 7 A D 3 A ICAD4 1 6 3 6 A D 4 A ICAD5 1 7 4 5 A D 5 A ICAD6 1 8 5 4 A D 6 A ICAD7 1 9 6 3 A D 7 A 7 2 5 A A 8 2 4 A A URD 2 2 9 2 1 O E UWR 2 7 A10 2 3 A11 A10 9 8 7 6 5 4 3 2 1WEA112A1226A13A12VCCA131A14VCCA1420CSCS_SRAM4.7KR10B28VCC14GND6225图3-1 SRAM扩展电路76CS_RAMP51B 五、实验预习要求 学习教材的相关内容,根据实验要求画出程序流程图,写出实验程序。 六、实验步骤 1)系统各跳线器处在初始设置状态。 用导线连接 SRAM 模块的 CS_RAM 到地; P1.0 接到八位逻辑电平显示模块的 L0。 2)启动 PC 机,打开 THGMW-51 软件,输入源程序,并编译源程序。编译无误后,下载程序。 3)对 RAM 数据进行读写,若 L0 灯闪烁说明 RAM 读写正常。 七、实验参考程序 本实验参考程序“3_SRAM.ASM”位于 THGMW 软件文件夹下 THGMW\Exp\51 文件夹。8 实验四一、实验目的FlashMemory 读写实验掌握 Flash Rom 29F010 数据读写方法。 二、实验内容 对片外 Flash 存储器中一固定地址单元进行读写操作,并比较读写结果是否一致。不一致 则说明读写操作不可靠或该存储器单元不可靠。读写数据的选用,可采用的是 55() 与 AA() 。一般采用这两个数据的读写操作就可查出数据总线的短路、断路等,在 实际调试电路时非常有效。编程在指定地址写入数据,并读出数据验证。 三、实验要求 根据实验内容编写一个程序,并在实验仪上调试和验证。 四、实验说明和电路原理图 1.Flash Memory 芯片 Am29F010 介绍 Am29F010 是 AMD 公司的多用途闪烁内存,容量为 1Mbit(128X8)。其特点有: ?单电压(5V)读与写操作。 ?高性能:最大存取时间为 45ns。 ?有极高的可靠性,可承受 10 万次擦写,数据保持&100 年。 ?低功耗(使用读操作时最大电流为 30mA,使用写操作时最大电流为 50mA) 。 ?具有块区域擦除能力,分成 8 个完全一样的分块,既可以块擦除,也可以整个芯片一起 擦除。 ?嵌入式算法:嵌入式擦除算法自动地预编程和擦除芯片或设好的扇区,嵌入式编程算法 自动地编程和把数据写到特定的地址。 2.Am29F010 闪烁存储器读操作与普通的存储器操作一致,而擦、写操作相对复杂一些, 需要写入一系列 Flash Memory 的命令寄存器,然后调用内部程序来完成相应的命令。 软件命令序列如表 4-1 所示。 总线写周 总线写周 期1 期2 命令 数 数 序列 地址 地址 据 据 读 RA RD 复位 5555 AA 2AAA 55 字节 5555 AA 2AAA 55 编码 扁区 5555 AA 2AAA 55 擦除 片擦 5555 AA 2AAA 55 除 总线写周 期3 数 地址 据 55
0A0 80 80 AA 0AA 2AAA 2AAA 55 55 SA
总线写周 期4 数 地址 据 总线写周 期5 数 地址 据 总线写周 期6 数 地址 据表 4-1 软件命令序列表 注:a. RA 为所读存储器位置的地址。 b. RD 为所读存储器位置的地址中的数据。 c. SA 为扇区地址。9 d. 表中地址、数据皆为十六进制数。 3.Am29F010 闪烁存储器的扇区分配如表 4-2 所示。 扁区 A16 A15 A14 SA0 0 0 0 SA1 0 0 1 SA2 0 1 0 SA3 0 1 1 SA4 1 0 0 SA5 1 0 1 SA6 1 1 0 SA7 1 1 1 表 4-2 Am29F010 扇区地址表 本实验需要用到CPU模块(F3区)和闪存模块(B8区)。闪存扩展电路原理图参见图4-1。A 0 1 2 U16B 1 3 A 0 D Q 0 ICAD0地址范围 00000H-03FFFH 04000H-07FFFH 08000H-0BFFFH 0C000H-0FFFFH 10000H-13FFFH 14000H-17FFFH 18000H-1BFFFH 1C000H-1FFFFHA11114A1DQA210115A2DQA39217A3DQA48318A4DQA57419A5DQA66520A6DQA75621A7DQ7ICAD7ICAD6ICAD5ICAD4ICAD3ICAD2ICAD1A827AA926831UWRVCCA9A1023W24URDA10G4.7KR11BA112522A11A124A12ECS_29010A1328A1429A153A14A13A153VCC1GN图4-1 闪存扩展电路 五、实验预习要求 学习教材的相关内容,根据实验要求画出程序流程图,写出实验程序。 六、实验步骤 1)系统各跳线器处在初始设置状态,用导线连接闪存模块的 CS_FLASH 到地,用 8 位数据 线连接八位逻辑电平显示模块的 JD4B 到 CPU 模块的 JD8(P1 口)。 2)启动 PC 机,打开 THGMW-51 软件,输入源程序,并编译源程序。编译无误后,下载程序。 按程序提示设置断点,运行程序在断点处观查写入或读出的数据,P1 口输出为读出的数据(灭 为 1,亮为 0) 。 3)用户可修改写入数据的值,再次运行程序,察看运行结果。 七、实验参考程序 本实验参考程序“4_FlashM.ASM”位于 THGMW 软件文件夹下 THGMW\Exp\51 文件夹。1029F010D62VCCCS_FLASHP52B 实验五一、实验目的定时/计数器实验学习 MCS-51 内部计数器的使用和编程方法。 二、实验内容 使用 MCS-51 内部定时/计数器,定时一秒钟,CPU 运用定时中断方式,实现每一秒钟输出 状态发生一次反转,即发光管每隔一秒钟亮一次。 三、实验要求 根据实验内容编写一个程序,并在实验仪上调试和验证。 四、实验说明 关于内部计数器的编程主要是定时常数的设置和有关控制寄存器的设置。内部计数器在单 片机中主要有定时器和计数器两个功能。本实验使用的是定时器,定时为一秒钟。 定时器有关的寄存器有工作方式寄存器 TMOD 和控制寄存器 TCON。TMOD 用于设置定时器/ 计数器的工作方式 0-3,并确定用于定时还是用于计数。TCON 主要功能是为定时器在溢出时设 定标志位,并控制定时器的运行或停止等。 内部计数器用作定时器时,是对机器周期计数。每个机器周期的长度是 12 个振荡器周期。 假设实验系统的晶振是 12MHZ,程序工作于方式 2,即 8 位自动重装方式定时器, 定时器 100uS 中断一次, 所以定时常数的设置可按以下方法计算: 机器周期=12÷12MHz=1uS (256-定时常数)×1uS=100uS 定时常数=156。然后对 100uS 中断次数计数 10000 次,就是 1 秒钟。 在本实验的中断处理程序中,因为中断定时常数的设置对中断程序的运行起到关键作用, 所以在置数前要先关对应的中断,置数完之后再打开相应的中断。 本实验需要用到 CPU 模块(F3 区)和八位逻辑电平显示模块(B5 区)。 五、实验预习要求 学习教材的相关内容,根据实验要求画出程序流程图,写出实验程序。 六、实验步骤 1) 系统各跳线器处在初始设置状态, 用导线连接 CPU 模块 P10 到八位逻辑电平显示模块的 L0。 2)启动 PC 机,打开 THGMW-51 软件,输入源程序,并编译源程序。编译无误后,下载程序 运行。 3)运行程序观察发光二极管隔一秒点亮一次,点亮时间为一秒。 七、实验参考程序 本实验参考程序“5_Timer.ASM”位于 THGMW 软件文件夹下 THGMW\Exp\51 文件夹。11 CS_8253P37C2.4576MHz三、实验要求二、实验内容一、实验目的VCC4.7KR11C/WR_IC/RD_ICAAICAD7ICAD6ICAD5ICAD4ICAD3ICAD2ICAD1ICAD010四、实验说明和电路原理图IOCK1222213210912345678实验六U9C11810WRCAADDDDDDDDD 1 0 7 6 5 4 3 2 1 0S8 R2CD4020U4E5GRST3CLKND一个 LED 上,运行后可观察到该 LED 在不停闪烁。图6-1 8253根据实验内容编写一个程序,并在实验仪上调试和验证。了解 8253 定时器的硬件连接方法及时序关系,掌握 8253 工作方式以及编程方法。时器 0 输出作为定时器 1 的输入,定时器 1 的输出作为定时器 2 的输入,定时器 2 的输出接在本实验需要用到 CPU 模块(F3 区)、8253 模块(C4 区)、频率发生器模块(E6 区)、八编程将 8253 定时器 0 设定为方式 3,定时器 1 设定在方式 2,定时器 2 设定在方式 2,定位逻辑电平显示模块 (B5 区) , 8253 电路原理图参见图 6-1。 频率发生器电路原理图参见图 6-2。图6-2 频率发生器V D Q D 1 GATE2 GATE1 GATE0 CLK2 CLK1 CLK0 OUT2 OUT1 OUT0 9 VCC 1 G VCC 6 N D IOCK2 1 2 1 1 1 1 1 1 9 1 1 2 4 8 6 7 5 4 3 0 1 VCC P44C P46C P45C P41C P42C P43C P38C P39C P40C CLK2 GATE2 OUT2 CLK1 GATE1 OUT1 CLK0 OUT0 GATE08253 定时/计数器实验12Q14Q13Q12Q11Q10QQQQQQ987654321111164575423P23EP24EP25EP27EIOCK3IOCK4150Hz300Hz600Hz19.2kHzP26EP28E2.4kHz153.6kHz 8253 是一种可编程计数器/定时器,它是用软、硬技术结合的方法实现定时和计数控制。 其主要有以下特点: ①有 3 个独立的 16 位计数器,每个计数器均以减法计数。 ②每个计数器都可按二进制计数或十进制(BCD 码)计数。 ③每个计数器都可由程序设置 6 种工作方式。 ④每个计数器计数速度可以达 2MHz。 五、实验预习要求 学习教材的相关内容,根据实验要求画出程序流程图,写出实验程序。 六、实验步骤 1)系统各跳线器处在初始设置状态。 用导线连接 CPU 模块的 8000H 到 8253 模块的 CS_8253; 频率发生器模块的 153.6kHz 接 8253 模块的 CLK0; 8253 模块的 CLK1 接 OUT0,CLK2 接 OUT1,GATE0、GATE1、GATE2 接+5V, OUT2 接八位逻辑电平显示模块的 L0 灯。 2)启动 PC 机,打开 THGMW-51 软件,输入源程序,并编译源程序。编译无误后,下载程序 运行。 3)观察 L0 灯的闪烁情况。 七、实验参考程序 本实验参考程序“6_8253.ASM”位于THGMW软件文件夹下THGMW\Exp\51文件夹。13 实验七一、实验目的 学习外部中断技术的基本使用方法。 二、实验内容外部中断实验INT0 端接单次脉冲发生器。 按一次脉冲产生一次中断, CPU 使 P1.0 状态发生一次反转, P1.0 接 LED 灯,以查看信号反转。 三、实验要求 根据实验内容编写一个程序,并在实验仪上调试和验证。 四、实验说明和电路原理图 1) 外部中断的初始化设置共有三项内容: 中断总允许即 EA=1, 外部中断允许即 EXi=1 (i=0 或 1) ,中断方式设置。中断方式设置一般有两种方式:电平方式和脉冲方式,本实验选用后者, 其前一次为高电平后一次为低电平时为有效中断请求。因此高电平状态和低电平状态至少维持 一个周期,中断请求信号由引脚 INT0(P3.2)和 INT1(P3.3)引入。 2)中断服务的关键: a、保护进入中断时的状态。 堆栈有保护断点和保护现场的功能使用 PUSH 指令, 在转中断服务程序之前把单片机中有关 寄存单元的内容保护起来。 b、必须在中断服务程序中设定是否允许中断重入,即设置 EX0 位。 c、用 POP 指令恢复中断时的现场。 3)中断控制原理:中断控制是提供给用户使用的中断控制手段。实际上就是控制一些寄存 器,51 系列用于此目的的控制寄存器有四个:TCON 、IE 、SCON 及 IP。 4) 中断响应的过程: 首先中断采样然后中断查询最后中断响应。 采样是中断处理的第一步, 对于本实验的脉冲方式的中断请求, 若在两个相邻周期采样先高电平后低电平则中断请求有效, IE0 或 IE1 置“1” ;否则继续为“0” 。所谓查询就是由 CPU 测试 TCON 和 SCON 中各标志位的状 态以确定有没有中断请求发生以及是那一个中断请求。中断响应就是对中断请求的接受,是在 中断查询之后进行的,当查询到有效的中断请求后就响应一次中断。 本实验需要用到 CPU 模块(F3 区)和八位逻辑电平显示模块(B5 区) 、单次脉冲模块(E3 区) 。 五、实验预习要求 学习教材的相关内容,根据实验要求画出程序流程图,写出实验程序。 六、实验步骤14 1) 系统各跳线器处在初始设置状态, 用导线连接单次脉冲模块的输出端到 CPU 模块的 P32; CPU 模块的 P10 接八位逻辑电平显示模块的灯。 2)启动 PC 机,打开 THGMW-51 软件,输入源程序,并编译源程序。编译无误后,下载程序 运行。 3)连续按动单次脉冲产生电路的按键,发光二极管 L0 每按一次状态取反,即隔一次点亮。 七、实验参考程序 本实验参考程序“7_EXint.ASM”位于THGMW软件文件夹下THGMW\Exp\51文件夹。15 实验八一、实验目的串转并与并转串实验1.掌握使用 74LS164 扩展输出的方法。 2.掌握使用 74LS165 扩展输入的方法。 二、实验内容 使用 74LS165 扩展输入数据,使用 74LS164 扩展输出数据。74LS165 的并行口接八位逻辑 电平输出(开关) ,CPU 使用 P1.0、P1.1 和 P1.2 串行读入开关状态;74LS164 的并行口接一只 数码管,CPU 使用 P1.3 和 P1.4 串行输出刚读入的开关状态,使之在数码管上显示出来。 三、实验要求 根据实验内容编写一个程序,并在实验仪上调试和验证。 四、实验说明和电路原理图 1)74LS165 为 8 位移位寄存器,其引脚功能如下: S/L:移位/置数端,低电平有效。 P0~P7:并行数据输入端。 QH、QH:串行数据输出端。 CLK、CKLINH:时钟信号输入端。 2)74LS164 为串行输入并行输出移位寄存器,其引脚功能如下: A、B:串行输入端; Q0~Q7:并行输出端; MR:清零端,低电平有效; CLK:时钟脉冲输入端,上升沿有效。 3)用 P1 端口输出数据时,要编程位移数据,每操作一个数据位,对应一个移位脉冲。 4)本实验需要用到 CPU 模块(F3 区)、八位逻辑电平输出模块(E4 区)和静态数码管显 示模块(B4 区)。74LS165 电路原理图参见图 8-1,74LS164 电路原理图参见图 8-2。 注:74LS164 集成电路芯片在主板反面。U3E SH/LD P16E 1 1 VCC S/L 1 5 VCC 6 CLK165 P17E 2 CLK INH 1 0 S CLK K 0 1 1 K 1 1 2 B A IK2139P18ECQK314K43K54EDHFQK65K768GHGN图 8-1 74LS165 电路1674LS165DH7QH165 U6B132a110DISP1BAQ0P29B42b19aDPYDINQ1252C1BQ28cbfa62d15Q314102e1VCCVCCQ44edeg9112f12MRQ5P30B8122g13fddcCLKCLKQ67132h1GNDQ77dg74LS164p165R3B图8-2 74LS164电路 五、实验预习要求 学习教材的相关内容,根据实验要求画出程序流程图,写出实验程序。 六、实验步骤 1)系统各跳线器处在初始设置状态。 用导线对应连接八位逻辑电平输出模块的 QH165、CLK165、SH/LD 到 CPU 模块的 P10、 P11、P12。 用导线对应连接静态数码管显示模块的 DIN、CLK 到 CPU 模块的 P13、P14。 2)启动 PC 机,打开 THGMW-51 软件,输入源程序,并编译源程序。编译无误后,下载程 序运行。 3)观察数码(八段码)管的亮灭与拨动开关的状态是否一致。拨动开关拨下输出为低电 平,段码点亮。 七、实验参考程序 本实验参考程序“8_164165”位于THGMW软件文件夹下THGMW\Exp\51文件夹。171pb CLKDINP30BP29B进制字符。VCC222f12e122C122a1六、实验步骤h g d b 1 1 1 1 2 1 1 7 3 2 4 5 8 9 0 U6B B A d g f e d c b a p 1 DISP1B e f 74LS164 DPY d 6 g a Q 5 R3B Q Q Q 1 3 d 2 1 0 c p b 6 5 4 3三、实验要求二、实验内容一、实验目的1五、实验预习要求894原理图参见图 9-1。MVCCCLKR为 LED 数码管的段码。7GND四、实验说明和电路原理图QQQQ76541111实验九3210222f12e122C122a1hgdb1111VCC222f22e222C222a2hgdb22221894 2 1173245890U7B2.掌握数字、字符转换成显示段码的软件译码方法。1.掌握静态数码显示的电路原理和驱动程序的编写。根据实验内容编写一个程序,并在实验仪上调试和验证。图 9-1 静态数码管显示电路B A串行静态数码显示实验它们是串行数据线 DIN 和移位信号 CLK。四只 74LS164 首尾相连,每只 74LS164 的并行输出作学习教材的相关内容,根据实验要求画出程序流程图,写出实验程序。本实验需要用到 CPU 模块(F3 区)和静态数码管显示模块(B4 区)。静态数码管显示电路使用四只 74LS164 组成四位静态数码管显示电路,在数码管上滚动显示“0”到“F”十六静态数码管显示电路由四只 74LS164、四只共阴极 LED 数码管组成。输入只有两个信号,18d g f e d c b a p 1 DISP2B e f 74LS164 DPY d 6 g a Q 5 R4B Q Q Q 1 3 d 2 1 0 c p b 6 5 4 3 2 2C2 2 2a2 d b 2 2 VCC 2 2 2f3 2e3 2 2C3 2 2a3 h g d b 2 1 3 3 3 3 U8B 1 B A 7 3 2 4 5 8 9 0 d g f e d c b a p 74LS164 1 DISP3B e f Q Q Q Q DPY d 3 2 1 0 6 g a 5 R5B 1 d c p b 6 5 4 3 2 2C3 2 2a3 d b 3 3 VCC 2 1 2 2 2f4 2e4 2 2C4 2 2a4 h g d b 4 4 4 4 U9B B AMVCCCLKR7GNDQQQQ765411113210222f22e2hg221894MVCCCLKR7GNDQQQQ765411113210222f32e3hg331894MVCC 1)系统各跳线器处在初始设置状态。 用导线对应连接静态数码管显示模块的 DIN、CLK 到 CPU 模块的 P10、P11。 2)启动 PC 机,打开 THGMW-51 软件,输入源程序,并编译源程序。编译无误后,下载程 序运行。 3)观察数码显示结果。 七、实验参考程序 本实验参考程序“9_4LED.ASM”位于THGMW软件文件夹下THGMW\Exp\51文件夹。19 实验十一、实验目的8255 输入、输出实验1.了解 8255 芯片结构及接口方式。 2.掌握 8255 输入、输出的编程方法。 二、实验内容 以 PA 口为输入口,接八位逻辑电平输出,以 PB、PC 口为输出口,接八位逻辑电平显示, 编写一个程序,读入 PA 口状态并在 PB、PC 口输出显示。 三、实验要求 根据实验内容编写一个程序,并在实验仪上调试和验证。 四、实验说明和电路原理图 8255 是可编程的并行输入/输出接口芯片,通用性强且使用灵活。8255 共有三个八位口, 其中 A 口和 B 口是单纯的数据口,供数据 I/O 口使用。C 口可分为两个 4 位端口(C 口的上半部 分和下半部) ,不仅可以作数据 I/O 口使用,还能用作控制线,配合 A 口和 B 口使用。 本实验需要用到 CPU 模块 (F3 区) 和 8255 模块 (C6 区) 、 八位逻辑电平输出模块 (E4 区) 、 八位逻辑电平显示模块(B5 区)。8255 电路原理图参见图 10-1。 CS_8255 接 8000H,则 8255 状态/命令口地址为 8006H,PA 口地址为 8000H,PB 口地址为 8002H。ICAD0 3 4 ICAD1 3 3 ICAD2 3 2 U11C 4 D 0 PA0D1PA13D2PA22ICAD331D3PA31ICAD430404321D4PA4ICAD529395D5PA5ICAD6283D6PA68ICAD7273D7PA771PB08VCC1PB192PB202R13CPB31224321876P58C4.7KPB46235825CS_8255CS5PB52PB64/RESET_IC352RESETPB75/RD_IC51RDPC04/WR_IC361WRPC151PC26A091A0PC37A18134321876A1PC4VCC125PC5261VCCPC6171GNDPC70图 10-1 8255 电路 五、实验预习要求 学习教材的相关内容,根据实验要求画出程序流程图,写出实验程序。 六、实验步骤 1)系统各跳线器处在初始设置状态。20876PC0-7JD5CPB0-7JD4CPA0-7JD3C 用 8 位数据线连接八位逻辑电平输出模块的 JD1E 到 8255 模块的 JD3C(PA 口); 八位逻辑电平显示模块的 JD4B 到 8255 模块的 JD4C(PB 口)或 JD5C(PC 口); 用导线连接 8255 模块的 CS_8255 到地。 2)启动 PC 机,打开 THGMW-51 软件,输入源程序,并编译源程序。编译无误后,下载程序 运行。 3)观察发光二极管的亮灭与拨动开关的状态是否一致。设拨动开关拨下输出为低电平, 发光二极管点亮。改变拨动开关的状态,发光二极管的亮灭随之变化。 七、实验参考程序 本实验参考程序“10_55IO.ASM”位于THGMW软件文件夹下THGMW\Exp\51文件夹。21 实验十一 8255 控制键盘与显示实验一、实验目的 1.掌握 8255 输入、输出编程方法。 2.掌握阵列键盘和数码管动态扫描显示的控制方法。 二、实验内容 用 8255 可编程并行口做一个键盘、显示扫描实验,把按键输入的键值,显示在 8255 控制 的七段数码管上。8255 PB 口做键盘输入线,PC 口做显示扫描线,PA 口做显示数据线。 三、实验要求 根据实验内容编写一个程序,并在实验仪上调试和验证。 四、实验说明和电路原理图 本实验需要用到 CPU 模块(F3 区)、8255 模块(C6 区)、8279 键盘与显示模块(E7 区)。 8255 键盘与显示电路原理图参见图 11-1A、图 11-1B,及前一实验的图 10-1。 CS_8255 接 8000H,则 8255 状态/命令口地址为 8003H,PA 口地址为 8000H,PB 口地址为 8001H、PC 口地址为 8002H。图 11-1A 键盘显示电路 122 JD2EJD4E运行。JD3E 8 7 6 5 4 3 2 1 8 7 6 5 4 3 2 1 8 7 6 5 4 3 2 1 LED_H LED_G LED_F LED_E LED_D LED_C LED_B LED_A X X X X X X X X LED_SC LED_SB LED_SA SEL_LED Y Y 7 6 5 4 3 2 1 0 1 0 VCC六、实验步骤五、实验预习要求七、实验参考程序 模块的 JD3E、JD2E、JD4E;SEL_LED LED_SC 1 1 RP1E 0 K 9 8 7 6 5 4 3 2 VCC 8 5 4 6 3 9 8 7 6 5 4 3 2 74LS138 G OE2B OE2A OE1 C B N LED_SB LED_SA 2 1 AU6E学习教材的相关内容,根据实验要求画出程序流程图,写出实验程序。用导线连接 8255 模块的 CS_8255 到地。图 11-1B 键盘显示电路 21)系统各跳线器处在初始设置状态,S11E 和 S12E 红开关全部打到下方(OFF) 。4)在键盘上按任一单键,观察数码管的显示,数码管低位显示按键值。本实验参考程序“11_55KEY.ASM”位于THGMW软件文件夹下THGMW\Exp\51文件夹。2)用 8 位数据线对应连接 8255 模块的 JD3C(PA 口)、JD4C(PB 口)、JD5C(PC 口)到 82793)启动 PC 机,打开 THGMW-51 软件,输入源程序,并编译源程序。编译无误后,下载程序23X X X X X 7 6 5 4 3 Key8 Key7 Key6 Key5 Key16 Key15 Key14 Key13DVCCXXXYYYYYYYY210765432107911111110123456Key4Key3Key2Key1VCCCL7CL6CL5CL4CL3CL2CL1CL0Y04.7KR13EKey12Key11Key10Key9 VCCY14.7KR14E 实验十二 8279 控制键盘与显示实验一、实验目的 1.掌握在 51 系统上扩展 8279 键盘显示接口的方法。 2.掌握 8279 的工作原理和编程方法。 二、实验内容 根据系统提供的电路,掌握 8279 的工作原理,编程实现 8279 键盘显示:读入键值,并在 数码管上显示出来。 三、实验要求 根据实验内容编写一个程序,并在实验仪上调试和验证。 四、实验说明和电路原理图 键盘和八段显示器可以直接使用单片机 89C51 的并行口,或者用 74LS273 和 74LS244、并 行接口芯片 8255 或多功能接口芯片 8155 与微型计算机接口。用上述接口方法,对键盘和显示 器的扫描是由软件实现的,不但程序比较复杂,更不利的是占用 CPU 很多时间。若采用专用的 可编程键盘/显示控制器 8279 与微型计算机接口, 则由 8279 对键盘和显示器进行自动扫描, 充 分地提高 CPU 的工作效率。 Inetel8279 芯片是一种通用的可编程键盘显示器接口器件,单个芯片就能完成键盘输 入和八段显示器显示控制两种功能。 本实验需要用到 CPU 模块(F3 区)、8279 键盘与显示模块(E7 区)。8279 键盘与显示电 路原理图参见图 12-1A、图 12-1B,及前一实验的图 11-1A 和图 11-1B。ICAD0 1 2 U5E 3 1 DB0 OUTB0 OUTB0 VCC ICAD1 1 3 3 0 DB1 ICAD2 1 4 OUTB1 2 9 DB2 ICAD3 1 5 OUTB2 2 8 DB3 C2E ICAD4 1 6 OUTB3 2 7 DB4 0.1uF ICAD5 1 7 OUTA0 2 6 DB5 ICAD6 1 8 OUTA1 2 5 DB6 ICAD7 1 9 OUTA2 2 4 DB7 3 7 VCC 3 6 CNTL/S OUTA3 3 2 OUTA3 OUTA2 OUTA1 OUTA0 OUTB3 OUTB2 OUTB1SHIFTSL03323SL134R12EBDA021SL23P29E4.7KA0CS827922SL3385CS/WR_IC11RL039CS8279WR/RD_IC10RL11RD/RESET_IC9RL22RESETIOCK13RL35CLK4RL46IRQVCCRL5740RL68VCC20GN827D图 12-1A 8279 键盘显示电路 1249RL7RL7RL6RL5RL4RL3RL2RL1RL0SL2SL1SL0 JD4EJD3E运行。8 7 6 5 4 3 2 1 8 7 6 5 4 3 2 1 X X X X X X X X Y Y LED_SC LED_SB LED_SA SEL_LED Y Y 7 6 5 4 3 2 1 0 1 0 1 0 S12E 1 9 8 7 6 5 4 3 2 1 0 1 1 1 1 1 1 1 1 1 2 1 2 3 4 5 6 7 8 9 0 RL7 RL6 RL5 RL4 RL3 RL2 RL1 RL0 CL1 CL0 JD2E 8 7 6 5 4 3 2 1六、实验步骤五、实验预习要求七、实验参考程序图 12-1B 8279 键盘显示电路 2学习教材的相关内容,根据实验要求画出程序流程图,写出实验程序。1)系统各跳线器处在初始设置状态,S11E 和 S12E 红开关全部打到上方(ON) 。3)在键盘上按任一单键,观察数码管的显示,数码管低位显示按键值。本实验参考程序“12_8279.ASM”位于THGMW软件文件夹下THGMW\Exp\51文件夹。2)启动 PC 机,打开 THGMW-51 软件,输入源程序,并编译源程序。编译无误后,下载程序25SEL_LEDLED_SCLED_SBLED_SALED_HLED_GLED_FLED_ELED_DLED_CLED_BLED_AS11E111987654321210111111122222345678901234GSL2SL1SL0OUTA3OUTA2OUTA1OUTA0OUTB3OUTB2OUTB1OUTB0ND 实验十三一、实验目的音频驱动实验掌握单片机音频发声原理,掌握扬声器的驱动电路。 二、实验内容 使用单片机使扬声器发声,编程产生一段《生日快乐歌》的音乐。 三、实验要求 根据实验内容编写一个程序,并在实验仪上调试和验证。 四、实验说明和电路原理图 使用I/O口输出音频脉冲,脉冲经放大滤波后,驱动扬声器发声。 要产生音频脉冲,只要算出某一音频的周期(1/频率) ,然后将此周期除以2,即为半周期 的时间。利用定时器定时这个半周期时间,每当定时到后就将输出脉冲的I/O口反相,然后重复 定时此半周期时间再对I/O反相,就可在I/O脚上得到此频率的脉冲。 利用内部定时器使其工作在计数器模式,改变计数值TH0及TL0以产生不同的频率。 例如频率为523Hz,其周期T=1/523=1912μ s,因此只要令计数器计956μ s/1μ s=956,在 每计数956次时将I/O反相,就可得到中音“哆” (523Hz) 。 计数脉冲值与频率的关系公式如下: N=Fi÷2÷Fr N:计数值; Fi:内部计时一次为1μ s,故其频率为1MHz; Fr:要产生的频率; 其计数值的求法:T=65536-N=65536-Fi÷2÷Fr 每个音符使用1个字节,字节的高4位代表音符的高低,低4位代表音符的节拍,表13.1为节 拍与节拍码的对照。如果1拍为0.4秒,1/4拍是0.1秒,只要设定延迟时间就可以求得节拍的时 间。假设1/4拍为1DELAY,则1拍应为4DELAY,以此类推。所以只要求得1/4拍的DELAY时间,其 余的节拍就是它的倍数,如表13.2为1/4和1/8节拍的时间设定。 表13.1节拍与节拍码对照 节拍码 1 2 3 4 5 6 8 A C F 节拍数 1/4 拍 2/4 拍 3/4 拍 1拍 1 又 1/4 拍 1 又 1/2 拍 2拍 2 又 1/2 拍 3拍 3 又 3/4 拍26节拍码 1 2 3 4 5 6 8 A C节拍数 1/8 拍 1/4 拍 3/8 拍 1/2 拍 5/8 拍 3/4 拍 1拍 1 又 1/4 拍 1 又 1/2 拍 表 13.2 各调 1/4 节拍的时间设定 各调 1/8 节拍的时间设定 曲调值 DELAY 曲调值 调 4/4 125 毫秒 调 4/4 调 3/4 187 毫秒 调 3/4 调 2/4 250 毫秒 调 2/4 建立音乐的步骤: a.先将乐谱的音符找出,然后由表 13.2 建立 T 值表的顺序。 拍为(节拍数)为低4位,音符节拍码放在程序的“TABLE”处。 表13.3 简谱对应的简谱码、T值、节拍数 简 谱 发 音 简谱码 T 值 5 低音 SO 1 64260 6 低音 LA 2 64460 7 低音 TI 3 64524 1 中音 DO 4 64580 2 中音 RE 5 64684 3 中音 MI 6 64777 4 中间 FA 7 64820 5 中音 SO 8 64898 6 中音 LA 9 64968 7 中音 TI A 65030 1 高音 DO B 65058 2 高音 RE C 65110 3 高音 MI D 65157 4 高音 FA E 65178 5 高音 SO F 65217 不发音 0 节拍码 1 2 3 4 5 6 8 A C FDELAY 62 毫秒 94 毫秒 125 毫秒b.将T值表建立在TABLE1,构成发音符的计数值放在“TABLE” 。简谱码(音符)为高位,节节拍数 1/4 拍 2/4 拍 3/4 拍 1拍 1 又 1/4 拍 1 又 1/2 拍 2拍 2 又 1/2 拍 3拍 3 又 3/4 拍本实验需要用到 CPU 模块(F3 区)和语音接口模块(C3 区) 。音频驱动电路原理图参见图 13-1。SPVOICE P36C SP+ VCC 6 U8C VCC V O U T 5 2 1-INGAIN2RW1CE7C220u3+INE6C3F7BYPASS10uF10KR10C1E5C48010uFGNDGAINLM386?R9C11C5C0p图 13-1 音频驱动电路 五、实验预习要求 学习教材的相关内容,根据实验要求画出程序流程图,写出实验程序。 六、实验步骤 1) 系统各跳线器处在初始设置状态, C3 模块的 JT3C 短路帽接至右端、 JT2C 短路帽接至 1、27F21SPEAK 2 端; 用导线连接 8255 模块的 CS_8255 到 CPU 模块的 8000H; 用导线连接语音接口模块的 VOICE 到 8255 模块的 PC0(使用端口转换板) 。 2)启动 PC 机,打开 THGMW-51 软件,输入源程序,并编译源程序。编译无误后,下载程序 运行。 七、实验参考程序 本实验参考程序“13_Music.ASM”位于THGMW软件文件夹下THGMW\Exp\51文件夹。28 G1_L8G1_L7G1_L6G1_L5G1_L4G1_L3G1_L2G1_L1H_A5H_A6H_A7H_A8111三、实验要求二、实验内容一、实验目的210987654321DIS1B右滚动的效果。G_LTR8G_LTR7G_LTR6G_LTR5G_LTR4G_LTR3G_LTR2G_LTR1H_ATB5H_ATB6H_ATB7H_ATB8BM14088B H_ATB4 H_ATB3 H_ATB2 H_ATB1四、实验说明和电路原理图R_LTR8R_LTR7R_LTR6R_LTR5R_LTR4R_LTR3R_LTR2R_LTR1111111122222出转换成并行输出,驱动矩阵 LED。345678901234图 14-1R1_L8 R1_L7 R1_L6 R1_L5 R1_L4 R1_L3 R1_L2 R1_L1 H_A4 H_A3 H_A2 H_A11.了解 8×8 矩阵 LED 显示的基本原理和功能。根据实验内容编写一个程序,并在实验仪上调试和验证。2.掌握 8×8 矩阵 LED 和单片机的硬件接口和软件设计方法。实验十四 LED 点阵显示实验根据系统提供的电路,掌握 8×8 矩阵 LED 的工作原理,编程实现显示一个‘箭头’从左向使用双色共阴极 LED 点阵,其内部结构如图 14-1 所示。系统使用 74HC595 芯片,使串行输LED 点阵内部结构29G2_L8 G2_L7 G2_L6 G2_L5 G2_L4 G2_L3 G2_L2 G2_L1 H_A5 H_A6 H_A7 H_A8 1 1 1 2 1 0 9 8 7 6 5 4 3 2 1 DIS2B G_LTR8 G_LTR7 G_LTR6 G_LTR5 G_LTR4 G_LTR3 G_LTR2 G_LTR1 H_ATB5 H_ATB6 H_ATB7 H_ATB8 BM14088B H_ATB4 H_ATB3 H_ATB2 H_ATB1 R_LTR8 R_LTR7 R_LTR6 R_LTR5 R_LTR4 R_LTR3 R_LTR2 R_LTR1 1 1 1 1 1 1 1 2 2 2 2 2 3 4 5 6 7 8 9 0 1 2 3 4 R2_L8 R2_L7 R2_L6 R2_L5 R2_L4 R2_L3 R2_L2 R2_L1 H_A4 H_A3 H_A2 H_A1 3VCC2VCC_D本实验需要用到 CPU 模块(F3 区)、LED 双色点阵显示模块(B2 区)。双色点阵显示电路 原理图参见图 14-2。 五、实验预习要求 学习教材的相关内容,根据实验要求画出程序流程图,写出实验程序。 六、实验步骤 1)系统各跳线器处在初始设置状态,B2 模块的 JT1B 短路帽接至右侧。 用导线对应连接双色点阵显示模块的 DIN、SCLK、RCLK 到 CPU 模块的 P10、P11、P12。 2)启动 PC 机,打开 THGMW-51 软件,输入源程序,并编译源程序。编译无误后,下载程序 运行。 3)显示一对‘箭头’从左向右滚动的效果。 七、实验参考程序 本实验参考程序“14_LEDDZ.ASM”位于THGMW软件文件夹下THGMW\Exp\51文件夹。JT1B图 14-2 双色点阵显示接口电路1300.1uFC1BVCC_D 实验十五 LCD 显示实验一、实验目的 掌握点阵式(128×64点)带汉字字库液晶显示屏的程序设计方法。 二、实验内容 根据系统提供的电路,掌握 128×64 点阵 LCD 的工作原理,编程实现显示字符、汉字和图 片。 三、实验要求 根据实验内容编写一个程序,并在实验仪上调试和验证。 四、实验说明和电路原理图 系统使用OCMJ4X8C_3型液晶显示屏(奥可拉中文集成模块)。 此模块可以显示字母、数字符号、中文字型及图形,具有绘图及文字画面混合显示功能。 提供三种控制接口,分别是8位微处理器接口,4位微处理器接口及串行接口(OCMJ4X16A/B无串 行接口)。所有的功能,包含显示RAM,字型产生器,都包含在一个芯片里面,只要一个最小的 微处理系统,就可以方便操作模块。内置2M-位中文字型ROM (CGROM) 总共提供8192个中文字型 (16x16点阵),16K位半宽字型ROM(HCGROM) 总共提供126 个符号字型(16x8点阵),64x16位字型 产生RAM(CGRAM),另外绘图显示画面提供一个64x256点的绘图区域(GDRAM),可以和文字画面 混和显示。提供多功能指令:画面清除(Display clear)、光标归位(Return home)、显示 打开/关闭(Display on/off)、光标显示/隐藏(Cursor on/off)、显示字符闪烁(Display character blink)、光标移位(Cursor shift)、显示移位(Displayshift)、垂直画面卷动 (Vertical line scroll) 、 反白显示 (By_line reverse display) 、 待命模式 (Standbymode) 。 OCMJ4X8C_3的引脚说明如表15-1: 表15-1: 引脚 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 名称 VSS VDD NC RS(CS) R/W(STD) E(SCLK) DB0 DB1 DB2 DB3 DB4 DB5 DB6 DB7 PSB NC /RST 方向 I I I I/O I/O I/O I/O I/O I/O I/O I/O I I 说明 GND(0V) Supply Voltage For Logic (+5V) Supply Voltage For LCD (悬空) H: Data L: Instruction Code H: Read L: Write Enable Signal,高电平有效 数据0 数据1 数据2 数据3 数据4 数据5 数据6 数据7 H: Parallel Mode L: Serial Mode 空脚 Reset Signal,低电平有效31 18 19 20 图15-1。NC LEDA LEDK-空脚 背光源正极(+5V) 背光源负极(OV)本实验需要用到CPU模块(F3区)、LCD液晶显示模块(B3区)。液晶显示电路原理图参见图15-1 液晶显示电路 五、实验预习要求 学习教材的相关内容,根据实验要求画出程序流程图,写出实验程序。 六、实验步骤 1)系统各跳线器处在初始设置状态,JT2B 跳线器的两只短路帽置位上端。 用导线对应连接液晶显示模块的 RS、R/W、E、PSB、RST 到 CPU 模块的 P10~P14。 2)启动 PC 机,打开 THGMW-51 软件,输入源程序,并编译源程序。编译无误后,下载程序 运行。 3)LCD 将显示字符和汉字及图形。 七、实验参考程序 本实验参考程序“15_LCD_S.ASM”位于THGMW软件文件夹下THGMW\Exp\51文件夹。32 实验十六一、实验目的 1.进一步掌握定时器的使用和编程方法 2.进一步掌握中断处理程序的编程方法 二、实验内容电子钟实验根据系统提供的显示电路,利用 MCU 的定时器设计一个电子时钟。格式如下: XX XX XX 由左向右分别为:时、分、秒。 三、实验要求 根据实验内容编写一个程序,并在实验仪上调试和验证。 四、实验说明和电路原理图 使用单片机内部计数器的定时器功能,编程设置主要针对定时器 /计数器工作方式寄存器 TMOD。具体为:工作方式选择位,设置为方式 2;计数/定时方式选择位,设置为定时器工作方式。 电子时钟每一秒钟更新一次,因此 MCU 须产生一秒钟定时,工程上常采用如下方法: 1、定时器使用方式一,设系统使用 12MHz 晶振,可使 T0 或 T1 产生 50 毫秒钟定时中断, 再在中断服务程序中,对中断次数进行计数,计数到 20 次即可产生一秒钟定时。 2、定时器使用方式二,定时器每 100uS 中断一次,在中断服务程序中,对中断次数进行计 数,100uS 计数 10000 次就是 1 秒。 本实验采用第一种方法定时。 本实验需要用到 CPU 模块(F3 区)、8279 键盘与显示模块(E7 区)。电路原理图参见实 验十二。 五、实验预习要求 学习教材的相关内容,根据实验要求画出程序流程图,写出实验程序。 六、实验步骤 1)系统各跳线器处在初始设置状态。 2)启动 PC 机,打开 THGMW-51 软件,输入源程序,并编译源程序。编译无误后,下载程序 运行。 3)E7 模块数码管显示 XX-XX-XX 由左向右分别为:时、分、秒,且每秒更新一次。 七、实验参考程序 本实验参考程序“16_Time.ASM”位于THGMW软件文件夹下THGMW\Exp\51文件夹。33 实验十七一、实验目的电子琴实验1.掌握单片机音频发声原理,掌握扬声器的驱动电路。 2.掌握查询式键盘编程方法。 二、实验内容 利用系统提供的键盘,使用数字键 1、2、3、4、5、6、7、8 作为电子琴按键,按下即发出 相应的音调。 三、实验要求 根据实验内容编写一个程序,并在实验仪上调试和验证。 四、实验说明和电路原理图 1.利用定时器,可以发出不同频率的脉冲,不同频率的脉冲经喇叭驱动电路放大滤波后 ,就 会发出不同的音调。 2.定时器按设置的定时参数产生中断,这一次中断发出脉冲低电平,下一次反转发出脉冲高 电平。由于定时参数不同,就发出了不同频率的脉冲. 本实验中当有键按下,会发出连续脉冲, 直到按键松开,才停止发音。发完后继续检测键盘,如果键还按下,继续发音。 各音阶标称频率值: 音 阶 1 261.1 2 293.7 3 329.6 4 349.2 5 392.0 6 440.0 7 493.9 8 608.6 频率(HZ)本实验需要用到 CPU 模块(F3 区)、8279 键盘与显示模块(E7 区)、语音接口模块(C3 区)及八位逻辑电平输出模块(E4 区)。电路原理图参见实验十二和实验十三。 五、实验预习要求 学习教材的相关内容,根据实验要求画出程序流程图,写出实验程序。 六、实验步骤 1) 系统各跳线器处在初始设置状态,8279 键盘与显示模块的 S11E、S12E 开关的 22 路开 关全部置位下方,C3 模块的 JT3C 短路帽接至右端、JT2C 短路帽接至 1、2 端;。 用 8 位数据线对应连接 CPU 模块的 JD8(P1 口)到 8279 模块的 JD3E;JD4E 接到八位逻辑电 平输出模块的 JD1E,八位逻辑电平输出模块的 K2 位置位上方,其它位均置位下方。CPU 模块的 P32 口接语音接口模块的 VOICE 口。 2)启动 PC 机,打开 THGMW-51 软件,输入源程序,并编译源程序。编译无误后,下载程序 运行。 3)按键盘的 0~7 键(或 8~F 键) ,扬声器发出高低不同的声音。 七、实验参考程序 本实验参考程序“17_Organ.ASM”位于THGMW软件文件夹下THGMW\Exp\51文件夹。34 实验十八一、实验目的 掌握十字路口交通灯控制方法。 二、实验内容交通灯控制实验利用系统提供的双色 LED 显示电路, 和四位静态数码管显示电路模拟十字路口交通信号灯。 4 位 LED 数码管显示时间,LED 显示红绿灯状态。 三、实验要求 根据实验内容编写一个程序,并在实验仪上调试和验证。 四、实验说明和电路原理图 交通信号灯控制逻辑如下:假设一个十字路口为东西南北走向。开始为四个路口的红灯全 部亮之后,东西路口的绿灯亮,南北路口的红灯亮,东西路口方向通车,延时一段时间后 (20 秒) , 东西路口的绿灯,闪烁若干次后(3 秒) ,东西路口的绿灯熄灭,同时东西路口的黄灯亮,延时一 段时间后(2 秒),东西路口的红灯亮,南北路口的绿灯亮,南北路口方向通车,延时一段时间后 (20 秒),南北路口的绿灯闪烁若干次后(3 秒),南北路口的绿灯熄灭,同时南北路口的黄灯 亮,延时一段时间后(2 秒),再切换到东西路口的绿灯亮,南北路口的红灯亮,之后重复以上过 程。 双色 LED 是由一个红色 LED 管芯和一个绿色 LED 管芯封装在一起,共用负极,当红色正 端加高电平,绿色正端加低电平时,红灯亮;红色正端加低电平,绿色正端加高电平时,绿灯 亮;两端都加高电平时,黄灯亮。 本实验需要用到 CPU 模块(F3 区)、静态数码管/双色 LED 显示模块(B4 区)。双色 LED 显示电路原理参见图 18-1。1 LED1B 2 U10B 1 9 2 VCC O E VCC 0 3 JD1B T/R 1 245A0 P21B 245A0 2 1 8 1 LED2B 1 R 1 A 0 B 245A2 P22B 245A1 3 0 1 7 2 G 1 A 1 B 245A4 P23B 245A2 4 1 1 3 R 2 A 2 B 245A6 P24B 245A3 5 2 1 4 G 2 A 3 B 3 5 6 3 245A1 P25B 245A4 6 1 5 R 3 A 4 B 245A3 P26B 245A5 7 4 1 3 4 6 G 3 A 5 B 245A5 P27B 245A6 8 5 1 2 1 LED3B 7 R 4 A 6 B 245A7 P28B 245A7 9 6 1 1 8 G 4 A 7 B 1 0 G N D 7 3 74HC245 1 LED4B图 18-1 双色 LED 显示电路 五、实验程序参考框图 实验示例程序参考框图如图 18-2。353222 图 18-2 程序流程图 六、实验预习要求 学习教材的相关内容,根据实验要求画出程序流程图,写出实验程序。 七、实验步骤 1)系统各跳线器处在初始设置状态。 P10 同时接 G1、G3;P11 同时接 R1、R3;P1.2 同时接 G2、G4;P1.3 同时接 R2、R4; P1.6、P1.7 分别接静态数码显示的 DIN、CLK。 2)启动 PC 机,打开 THGMW-51 软件,输入源程序,并编译源程序。编译无误后,下载程序 运行。 3)观察十字路口交通灯效果。 八、实验参考程序 本实验参考程序“18_Trled.ASM”位于THGMW软件文件夹下THGMW\Exp\51文件夹。36 实验十九一、实验目的单片机串行口与 PC 机通信实验1.掌握 8051 串行口的工作原理和编程方法。 2.了解 RS-232 串行通讯硬环境,数据格式及交换协议。 3.了解 PC 机通讯的基本要求。 二、实验内容 8X51 串行口经 RS232 电平转换后, 与 PC 机串行口相连。 PC 机可使用串口调试应用软件如: “WINDOWS 超级终端” 、 “串口调试助手” 、 “串口精灵”等,实现上位机与下位机的通讯。本实 验使用查询法接收和发送资料。上位机发出指定字符,下位机收到后,加一运算后回传给 PC 机。 波特率设为 4800。 三、实验要求 根据实验内容编写一个程序,并在实验仪上调试和验证。 四、实验说明和电路原理图 8X51 串行口经 RS232 电平转换后,与 PC 机串行口相连,使用 2、3 平行串口线。 本实验需要用到 CPU 模块 (F3 区) 、 232/485 模块 (C1 区) 。 串口通信电路原理参见图 19-1。9 VCC U1C E1C 1 u F 1 6 9 RXD0_232 VCC R2 OUT 8 4 518C1+3R2IN10TXD0_232C1-E2C1uF4T2IN7C2+5T2OUT12C2-VCC2R1OUT13V+E3C1uF6R1IN1V-15T1IN1GNDE4C1uFVCCMAX232T1OUT41TXD0_485JT1C1P1C2RXD0_48516273TXD34P2CC1CTXD0_23250.1uF图 19-1 串口通信电路 五、实验预习要求 学习教材的相关内容,根据实验要求画出程序流程图,写出实验程序。 六、实验步骤 1)系统各跳线器处在初始设置状态,232/485 模块的 JT1C 跳线器两只短路帽置位右边。 用导线对应连接 232/485 模块的 RXD、TXD 到 CPU 模块的 RXD、TXD。用 2、3 平行串口 线连接 232/485 模块的 COM1C 到 PC 的另一个串行口(可使用 USB 转串口) 。376RXD0_232RXDCOM1C 2)启动 PC 机,打开 THGMW-51 软件,输入源程序,并编译源程序。编译无误后,下载程序 并运行。 3)打开串口调试应用程序,选择下列属性: (注意选择通信串口) 波特率――4800 奇偶校验――无 数据。 七、实验参考程序 本实验参考程序“19_RS232.ASM”位于THGMW软件文件夹下THGMW\Exp\51文件夹。 数据位――8 停止位――1在‘发送的字符/数据’区输入一个字符,点击手动发送或自动发送,接收区收到加一后的38 VCCEEE 1E4321111CS_8251uuuu三、实验要求二、实验内容一、实验目的FFFFVCC1156 6254314.7KR6U到实验机的数码管上。VCC G V V C2 C2+ C1 C1+ VCC 6N-+D四、实验说明和电路原理图/RESET_ICIOCK1CS8251U25MAX232/WR_IC/RD_ICAICAD7ICAD6ICAD5ICAD4ICAD3ICAD2ICAD1ICAD01R1 T1 OUT T2 OUTOUTR2OUTT1INR1 T2 ININR2IN2211112210031287652187图 20-1U D D D 5 2 1 0 1 1 1 1 7 1 8 9 1 2 3 4 0 3 PCCTS PCRST 74LS08 U7A PRXD 232RXD P39 P17 DSR RxD TxD 1 2 4.7K R11 VCC 2 3 1 2 9 PTXD 232TXD ERXD ETXD PCCTS PCTXD PCHALT PCRXD P40 P42 P41 5 9 4 8 3 7 2 6 1 SCOM1 232TXD ERXD ETXDRESETWRCC/DDDDDDCLKDS76543R1.掌握 8251 串行口的工作原理和编程方法。8251 串行口经 RS232 电平转换后,与 PC 机串行口相连。根据实验内容编写一个程序,并在实验仪上调试和验证。2.了解实现串行通讯的硬环境,数据格式的协议,数据交换的协议。实验二十 8251 可编程串行口与 PC 机通信实验键盘上输入数字(0~F) ,字符显示到 PC 机的显示器上,将 PC 机键盘输入的字符(0~F)显示本实验需要用到 CPU 模块(F3 区)。8251 串口通信电路原理参见图 20-1。利用系统提供的硬件电路,实现单片机通过 8251 芯片扩展串口与 PC 机通信。从实验机的8251 串口通信电路398251SYNDETTxEMPTRxRDYTxRDYRxCLKTxCLKGDTRVCCRTSCTSND21242111129374645685VCCIOCK4 五、实验预习要求 学习教材的相关内容,根据实验要求画出程序流程图,写出实验程序。 六、实验步骤 1)系统各跳线器处在初始设置状态。 CPU 模块的 ETXD 接 232TXD,ERXD 接 232RXD,8000H 接 CS_8251。 2)启动 PC 机,打开 THGMW-51 软件,输入源程序,并编译源程序。编译无误后,下载程序。 3)打开调试菜单工具中的对话窗口,执行 G 命令:输入“G0” (G 零) , “回车” ,输入“Y” 。 从实验机的键盘上输入数字 “0~F” , 字符将显示到 PC 机的显示器上, 从 PC 机键盘输入字符 “0~ F” (PC 机键盘大写锁定) ,字符将显示到实验机的数码管上。 七、实验参考程序 本实验参考程序“20_8251.ASM”位于THGMW软件文件夹下THGMW\Exp\51文件夹。40 实验二十一一、实验目的并行 A/D 转换实验1.掌握 ADC0809 模/数转换芯片与单片机的连接方法及 ADC0809 的典型应用。 2.掌握用查询方式、中断方式完成模/数转换程序的编写方法。 二、实验内容 利用系统提供的 ADC0809 接口电路,实现单片机模数转换。模拟信号为 0~5V 电位器分压 输出,单片机控制 ADC0809 读取模拟信号,并在数码管上用十六进制形式显示出来。 三、实验要求 根据实验内容编写一个程序,并在实验仪上调试和验证。 四、实验说明和电路原理图 1.本实验使用 ADC0809 模数转换器, ADC0809 是 8 通道 8 位 CMOS 逐次逼近式 A/D 转换芯片, 片内有模拟量通道选择开关及相应的通道锁存、译码电路,A/D 转换后的数据由三态锁存器输 出,由于片内没有时钟需外接时钟信号。 芯片的引脚如图 21-1,各引脚功能如下: IN0~IN7:八路模拟信号输入端。 ADD-A、ADD-B、ADD-C:三位地址码输入端。 CLOCK: 外部时钟输入端。 CLOCK 输入频率范围在 10~ 1280KHz, 典型值为 640KHz, 此时 A/D 转换时间为 100us。 51 单片机 ALE 直接或分频后可与 CLOCK 相连。 D0~D7:数字量输出端。 OE:A/D 转换结果输出允许控制端。 当 OE 为高电平时, 允许 A/D 转换结果从 D0~D7 端输出。 ALE:地址锁存允许信号输入端。 八路模拟通道地址由 A、B、C 输入,在 ALE 信号有效时将该八路地址锁存。 START:启动 A/D 转换信号输入端。 当 START 端输入一个正脉冲时,将进行 A/D 转换。 EOC:A/D 转换结束信号输出端。 当 A/D 转换结束后,EOC 输出高电平。 Vref(+)、Vref(-):正负基准电压输入端。 基准正电压的典型值为+5V。 2.本实验需要用到 CPU 模块(F3 区)、电位器模块(E2 区)、并行模数转换模块(D7 区)、串行静态数码显示模块(B4 区)。ADC0809 并行模数转换电路原理参见图 21-2。 图 21-1 0809 引脚41 CS_0809 VCCP19D/RD_IC/WR_IC运行。4.7K R38C 2 1 5 4 U10DA U10DB六、实验步骤五、实验预习要求七、实验参考程序VCC 74LS32 74LS32 0.1uF C16D 3 6 5 9 74LS14 74LS14 ICAD7 ICAD6 ICAD5 ICAD4 ICAD3 ICAD2 ICAD1 ICAD0 U11DC U11DD A A A 2 1 0数码管显示将随之变化。 图 21-2 1)系统各跳线器处在初始设置状态。6 8 2 2 2 2 2 2 1 1 1 5 4 3 9 2 6 1 0 9 8 8 5 ADD-A ADD-B ADD-C ENABLE ALE START msb2-1 2-2 2-3 2-4 2-5 2-6 1 1 4 7 2-7 lsb2-8U9D并行模数转换模块的 Vref 接+5V 电源;电位器模块的输出端接并行模数转换模块的 IN-0;学习教材的相关内容,根据实验要求画出程序流程图,写出实验程序。CPU 模块的 P10、P11 接串行静态数码显示模块 DIN、CLK。ADC0809 并行模数转换电路本实验参考程序“21_0809.ASM”位于THGMW软件文件夹下THGMW\Exp\51文件夹。用导线对应连接并行模数转换模块的 CS_0809、EOC 到 CPU 模块的 8000、P32;2)启动 PC 机,打开 THGMW-51 软件,输入源程序,并编译源程序。编译无误后,下载程序3) 数码管以十六进制形式显示模拟量, 手动调节输入电位器, 改变输入模拟量电压的大小,42ADC0809CLOCKref(+)G ref(-)EOCVCCIN-7IN-6IN-5IN-4IN-3IN-2IN-1IN-0ND1175432122211136876021VCCP21D374LS14IN7IN6IN5IN4IN3IN2IN1IN0IOCK2U11DBP29DP28DP27DP26DP25DP24DP23DP22D+Vref4P20DEOCIN-7IN-6IN-5IN-4IN-3IN-2IN-1IN-0 实验二十二一、实验目的并行 D/A 转换实验1.了解 DAC0832 直通方式、单缓冲器方式、双缓冲器方式的编程方法。 2.掌握 DAC0832 单缓冲器方式数模转换程序的编程方法和调试方法。 二、实验内容 利用系统提供的 DAC0832 接口电路,实现单片机数模转换,使 DAC0832 输出正弦波。 三、实验要求 根据实验内容编写一个程序,并在实验仪上调试和验证。 四、实验说明和电路原理图 1.DAC0832 是 8 位 D/A 转换器,它采用 CMOS 工艺制作,具有双缓冲器输入结构,其引脚排列 如图所示,DAC0832 各引脚功能说明: DI0~DI7:转换数据输入端。 CS:片选信号输入端,低电平有效。 ILE:数据锁存允许信号输入端,高电平有效。 WR1:第一写信号输入端,低电平有效, Xfer:数据传送控制信号输入端,低电平有效。 WR2:第二写信号输入端,低电平有效。 Iout1:电流输出 1 端,当数据全为 1 时,输出电流最 大;数据全为 0 时,输出电流最小。 Iout2:电流输出 2 端。DAC0832 具有:Iout1+Iout2=常数的特性。 Rfb:反馈电阻端。 Vref:基准电压端,是外加的高精度电压源,它与芯片内的电阻网络相连接,该电压范 围为:-10V~+10V。 DAC0832 内部有两个寄存器,而这两个寄存器的控制信号有五个,输入寄存器由 ILE、CS、 WR1 控制,DAC 寄存器由 WR2、Xref 控制,用软件指令控制这五个控制端可实现三种工作方式: 直通方式、单缓冲方式、双缓冲方式。 直通方式是将两个寄存器的五个控制端预先置为有效,两个寄存器都开通只要有数字信号 输入就立即进入 D/A 转换。 单缓冲方式使 DAC0832 的两个输入寄存器中有一个处于直通方式,另一个处于受控方式, 可以将 WR2 和 Xfer 相连在接到地上,并把 WR1 接到 51 的 WR 上,ILE 接高电平,CS 接高位地址 或地址译码的输出端上。 双缓冲方式把 DAC0832 的输入寄存器和 DAC 寄存器都接成受控方式,这种方式可用于多路 模拟量要求同时输出的情况下。 三种工作方式区别是:直通方式不需要选通,直接 D/A 转换;单缓冲方式一次选通;双缓 冲方式二次选通。 本实验需要用到 CPU 模块(F3 区)、并行数模转换模块(D8 区)。DAC0832 并行数模转换 电路原理参见图 22-1。43 CS_0832P30D运行。VCC ICAD7 ICAD6 ICAD5 ICAD4 ICAD3 ICAD2 ICAD1 ICAD0 4.7K R39C 1 1 1 1 1 1 0 1 7 3 4 5 6 4 5 6 7 G C Xfer msbDI7 DI6 DI5 DI4 DI3 DI2 DI1 lsbDI0 U12D N S D DAC0832 A WR1 WR2 G Iout2 Iout1 Vref 1 RW3D N Rfb ILE Vcc K六、实验步骤五、实验预习要求七、实验参考程序 1)系统各跳线器处在初始设置状态。D -12V 8 2 1 3 1 1 9 1 5 R33D 8 2 1 9 1 0 2 0 VCC4)观察示波器,测量输出正弦波的周期和幅度。图 22-1 DAC0832 并行数模转换电路学习教材的相关内容,根据实验要求画出程序流程图,写出实验程序。用导线连接并行数模转换模块的 CS_0832 到 CPU 模块的 8000H;本实验参考程序“22_0832.ASM”位于THGMW软件文件夹下THGMW\Exp\51文件夹。并行数模转换模块的 VOUT 端口接入示波器,示波器接地端接电源开关处的地端。2)用万用表测量“-Vref”端的电压,手动调节电位器 RW3D,把-Vref 电压调到-5V。3)启动 PC 机,打开 THGMW-51 软件,输入源程序,并编译源程序。编译无误后,下载程序44/WR_IC-VrefP31DP32D+12VTL431Q1DVOUT1120 543210.1uFC17DU13D4IN+3IN+2IN+V1IN+1IN-1OutDDLM3242IN-3IN-4IN-VEE2Out3Out4Out69117813141R34D0R36DR37DKP33D1R35D0COUTK3333 实验二十三一、实验目的串行 A/D 转换实验1.熟悉八位串行AD转换器TLC549的性能及转换过程。 2.掌握单片机和TLC549硬件联接及软件编程。 二、实验内容 使用 TLC549 实现单片机模数转换。 模拟信号为 0~5V 电位器分压输出, 单片机读取模拟信 号,并在数码管上用十六进制形式显示出来。 三、实验要求 根据实验内容编写一个程序,并在实验仪上调试和验证。 四、实验说明和电路原理图 TLC549是一种采用8位逐次逼近式工作的A/D转换器。内部包含系统时钟、采样和保持、8 位A/D转换器、数据寄存器以及控制逻辑电路。TLC549每25uS重复一次“输入――转换――输 出”。器件有两个控制输入:I/O CLOCK和片选(CS)。 内部系统时钟和I/O CLOCK可独立使用。应用电路的设计只需利用I/O时钟启动转换或读出 转换结果。当CS为高电平时,DATA OUT处于高阻态且I/O时钟被禁止。 当CS变为低电平时,前次转换结果的最高有效位(MSB)开始出现在DATA OUT端。在接下来 的7个I/O CLOCK周期的下降沿输出前次转换结果的后7位,至此8位数据已经输出。然后再将第8 个时钟周期加至I/O CLOCK,此时钟周期的下跳沿变使芯片进行下一轮的AD转换。在第8个I/O CLOCK周期之后,CS必须变为高电平,并且保持高电平直至转换结束为止(&17uS),否则CS的 有效高电平至低电平的转换将引起复位(其它详细资料看芯片说明)。 本实验需要用到 CPU 模块(F3 区)、电位器模块(E2 区)、串行模数转换模块(D5 区)、 串行静态数码显示模块(B4 区)。TLC549 串行模数转换电路原理参见图 23-1。VCC U6D VCC 1 8 VCC Vref+ P11D 2 VCC 7 R27D 1 0 0 AIN I/O CLK P12D AIN CLK36R28D100P13DVref-DATADATA45R29D100P14DGNDC图 23-1 五、实验预习要求TLC549STLC549 串行模数转换电路学习教材的相关内容,根据实验要求画出程序流程图,写出实验程序。 六、实验步骤 1)系统各跳线器处在初始设置状态。 用导线对应连接串行模数转换模块的 CS_549、 DATA、 CLK 到 CPU 模块的 P12、 P10、 P11; 电位器模块的输出端接串行模数转换模块的 AIN; CPU 模块的 P16、P17 接串行静态数码显示模块 DIN、CLK。 2)启动 PC 机,打开 THGMW-51 软件,输入源程序,并编译源程序。编译无误后,下载程序45CS_5490.1uFC14D 运行。 3) 数码管以十六进制形式显示模拟量, 手动调节输入电位器, 改变输入模拟量电压的大小, 数码管显示将随之变化。 七、实验参考程序 本实验参考程序“23_549.ASM”位于THGMW软件文件夹下THGMW\Exp\51文件夹。46 实验二十四一、实验目的串行 D/A 转换实验1.掌握 LTC1446 12 位串行 D/A 转换芯片的工作方法。 2.掌握LTC1446 12位串行D/A转换芯片编程方法和调试方法。 二、实验内容 使用 LTC1446 实现单片机数模转换,输出正弦波。 三、实验要求 根据实验内容编写一个程序,并在实验仪上调试和验证。 四、实验说明和电路原理图 LTC1446是一种12位双通道串行D/A转换器。内部包含24位移位寄存器、参考电压、12位D/A 转换器、电压跟随放大器以及控制逻辑电路。器件有三个控制输入:DIN、CLK和片选CS。 当CS为高电平时,Dout处于高阻态。当CS变为低电平时,DIN端依次输入A、B通道数据(高 位在前、低位在后)。 前次转换结果的最高有效位(MSB)开始出现在Dout端。 其它详细资料看芯片说明。 1、LTC1446 的功能框图图 24-1功能框图47 2、LTC1446 时序图图 24-2 电路原理参见图 24-3。时序图本实验需要用到 CPU 模块(F3 区)、串行数模转换模块(D6 区)。LTC1446 串行数模转换VCCP15DR30D1001U7DCLKCLKP16DR31D1002VoutBDINDinVcc78+12VP17DR32D10036CS_1446CS/LDGN4D53774DoutVoutA1U8D6P18DOULTC1446VCC2410.1uFC15D图 24-3 五、实验预习要求LTC1446 串行模数转换电路学习教材的相关内容,根据实验要求画出程序流程图,写出实验程序。 六、实验步骤 1)系统各跳线器处在初始设置状态。 用导线对应连接串行数模转换模块的 CLK、DIN、CS_1446 到 CPU 模块的 P1.0、P1.1、 P1.2; 串行数模转换模块的 OUT 端口接入示波器,示波器接地端接电源开关处的地端。 2)启动 PC 机,打开 THGMW-51 软件,输入源程序,并编译源程序。编译无误后,下载程序 运行。 3)观察示波器,测量输出正弦波的周期和幅度。 七、实验参考程序 本实验参考程序“24_1446.ASM”位于THGMW软件文件夹下THGMW\Exp\51文件夹。48-12V5T 实验二十五一.实验目的并行打印机实验(选)1.了解单片机控制外部设备的常用电路,了解单片机控制打印机的方法。 2.掌握打印机驱动程序的编写与调试。 二、实验内容 实现单片机控制微型打印机打印字符、汉字等。微型打印机须另配、外置。 三、实验要求 根据实验内容编写一个程序,并在实验仪上调试和验证。 四、实验说明和电路原理图 打印机的主要引脚功能如下: DB0~DB7 :数据线,单向,由计算机输入打印机。 STB: 数据选通信号,底电平有效。用于锁存主机送出的 8 位打印数据(ASCII) 。信号脉冲 宽度应大于 0.5us。 BUSY: 打印机“忙”状态信号,高电平有效。表示打印机正忙于处理数据,此时主计算机 不得向打印机送入新的数据,否则数据丢失。信息幅度宽,适用于查询方式。 ACK: 打印机应答信号(打印机输出信号) ,底电平有效。表明打印机已取走数据线上的 数 据。脉宽窄可作为中断请求信号。 ERR: 出错信号(打印机输出信号) ,低电平有效,当送入打印机的命令格式有错时,该端 线上出现了一个负脉冲,供主机处理。同时打印机打印出一行出错信息,以提示操作者注意。COM1B 1 3 2 1 2 1 1 4 2 5P1B2103P2B292P11B281P10B270P9B169P8B158P7B147P6B136P5B125P4B114P3BPrint图 25-1打印机接口电路49STROBEDATA1DATA2DATA3DATA4DATA5DATA6DATA7DATA8ACKBUSY 打印机的控制一般流程为: 1) 读打印机状态,判断打印机是否忙(BUSY) 。 2) 若不忙,则向打印机数据口输出数据(TXD) 。 3) 向打印机输出数据选通信号(STROBE) 。 本实验使用 51 单片机控制打印机,采用查询方式,要打印的数据由单片机的 P1 口输出, 打印机的忙状态是通过 51 单片机的 P3.4 口读入,STB 作为选通信号,由 P3.5 输出。 本实验需要用到 CPU 模块(F3 区)、打印机接口模块(B1 区)。打印机接口电路原理参见 图 25-1。 五、实验预习要求 学习教材的相关内容,根据实验要求画出程序流程图,写出实验程序。 六、实验步骤 1)系统各跳线器处在初始设置状态。 打印机装上打印纸,用并口线连接打印机的 DB25 端口与打印机接口 COM1B 端口; 用导线对应连接打印机接口模块的 STROBE、BUSY、ACK 到 CPU 模块的 P35、P34、P32; 用 8 位数据线连接打印机接口模块的 JD1B 到 CPU 模块的 JD8(P1 口) 。 2)启动 PC 机,打开 THGMW-51 软件,输入源程序,并编译源程序。编译无误后,下载程序 运行。 3)微型打印机打印字符、汉字等。 七、实验参考程序 本实验参考程序“25_Print.ASM”位于THGMW软件文件夹下THGMW\Exp\51文件夹。50 实验二十六 I2C 串行 EEPROM 读写实验一、实验目的 1.了解 I C 总线的标准及使用。 2.熟悉 24C0X 的芯片的功能。 3.掌握用 I C 总线方式读写串行 EEPROM 24C0X 的方法。 二、实验内容 对片外串行 EEPROM 中一地址单元区进行读写操作, 并比较读写结果是否一致。 用户调试该 程序时,可以灵活使用单步、断点和变量观察的等方法,来观察程序执行的流程和各中间变量 的值。 三、实验要求 根据实验内容编写一个程序,并在实验仪上调试和验证。 四、实验说明和电路原理图 1.串行 EEPROM(24C02)接口方法 在新一代单片机中,无论总线型还是非总线型单片机,为了简化系统结构,提高系统的可 靠性,都推出了芯片间的串行数据传输技术,设置了芯片间的串行传输接口或串行总线。串行 总线扩展接线灵活,极易形成用户的模块化结构,同时将大大简化其系统结构。串行器件不仅 占用很少的资源和 I/O 线,而且体积大大缩小,同时还具有工作电压宽,抗干扰能力强,功耗 低,资料不宜丢失和支持在线编程等特点。目前,各式各样的串行接口器件层出不穷,如:串 行 EEPROM,串行 ADC/DAC,串行时钟芯片,串行数字电位器,串行微处理器监控芯片,串行温 度传感器等等。 串行 EEPROM 是在各种串行器件应用中使用较频繁的器件, 和并行 EEPROM 相比, 串行 EEPROM 的资料传送的速度较低,但是其体积较小,容量小,所含的引脚也较少。所以,它特别适合于 需要存放非挥发资料,要求速度不高,引脚少的单片机的应用。 2.串行 EEPROM 及其工作原理 串行 EEPROM 中, 较为典型的有 ATMEL 公司的 AT24CXX 系列以及该公司生产的 AT93CXX 系列, 较为著名的半导体厂家, 包括 Microchip, 国家半导体厂家等, 都有 AT93CXX 系列 EEPROM 产品。 AT24CXX 系列的串行电可改写及可编程只读存储器 EEPROM 有 10 种型号, 其中典型的型号 有 AT24C01A/02/04/08/16 等 5 种, 它们的存储容量分别是 96/ 位, 也 就是 128/256/512/1 024/2048 字节。这个系列一般用于低电压,低功耗的工业和商业用途,并 且可以组成优化的系统。信息存取采用 2 线串行接口。这里我们就 24C02 的结构特点,其它系 列比较类似。 3.结构原理及引脚 AT24C02 有地址线 A0~A2,串行资料引脚 SDA,串行时钟输入引脚 SCL,写保护引脚 WP 等 引脚。很明显,其引脚较少,对组成的应用系统可以减少布线,提高可 靠性。 各引脚的功能和意义如下: ① VCC 引脚,电源+5V。512 2 ② GND 引脚,地线。 ③ SCL 引脚,串行时钟输入端。在时钟的正跳沿即上升沿时把资料写入 EEPROM;在时钟的 负跳沿即下降沿时把资料从 EEPROM 中读出来。 ④ SDA 引脚,串行资料 I/O 端,用于输入和输出串行资料。这个引脚是漏极开路的埠,故 可以组成“线或”结构。 ⑤ A0,A1,A2 引脚,是芯片地址引脚。在型号不同时意义有些不同,但都要接固定电平。 ⑥ WP 引脚,写保护端。这个端提供了硬件数据保护。当把 WP 接地时,允许芯片执行一般 读写操作;当把 WP 接 VCC 时,则对芯片实施写保护。 4.内存的组织及运行 ① 内存的组织: 对于不同的型号, 内存的组织不一样, 其关键原因在于内存容量存在差异。 对于 AT24CXX 系列的 EEPROM,其典型型号的内存组织如下。 AT24C01A:内部含有 128 个字节,故需要 7 位地址对其内部字节进行寻址 AT24C02:内部含有 256 个字节, 故需要 8 位地址对其内部字节进行读写。 5.运行方式 起始状态:当 SCL 为高电平时,SDA 由高电平变到低电平则处于起始状态。起始状态应处 于任何其它命令之前。 停止状态:当 SCL 处于高电平时,SDA 从低电平变到高电平则处于停止状态。在执行完读 序列信号之后,停止命令将把 EEPROM 置于低功耗的备用方式(Standby Mode). 应答信号:应答信号是由接受资料的器件发出的。当 EEPROM 接受完一个写入资料之后,会 在 SDA 上发一个”0”应答信号。反之,当单片机接受完来自 EEPROM 的资料后,单片机也应向 SDA 发 ACK 信号。ACK 信号在第 9 个时钟周期时出现。 备用方式(Standby Mode):AT24C01A/02/04/08/16 都具有备用方式,以保证在没有读写操 作时芯片处于低功耗状态。在下面两种情况中,EEPROM 都会进入备用方式:第一,芯片通电的 时候;第二,在接到停止位和完成了任何内部操作之后。 AT24C01 等 5 种典型的 EEPROM 在进入起始状态之后, 需要一个 8 位的“器件地址字”去启 动内存进行读或写操作。在写操作中,它们有“字节写”,“页面写”两种不同的写入方法。 在读操作中,有“现行地址读”,随机读和“顺序读”种各具特点的读出方法。下面分别介绍 器件寻址,写操作和读操作。 ① 器件寻址:所谓器件寻址(Device Addressing)就是用一个 8 位的器件地址字(Device Address Word) 去 选 择 内 存 芯 片 。 在 逻 辑 电 路 中 的 AT24CXX 系 列 的 5 种 芯 片 种 , 即 AT24C01A/02/04/08/16 中,如果和器件地址字相比较结果一致,则读芯片被选中。下面对器件 寻址的过程和意义加以说明。 ② 芯片的操作地址 D7 1 D6 0 D5 1 D4 0 D3 A2 D2 A1 D1 A0 D0 R/W用于内存 EEPROM 芯片寻址的器件地址字如图所示。 它有 4 种方式, 分别对应于 1K/2K,4K,8K 和 16K 位的 EEPROM 芯片。 从 图 中 看 出 : 器 件 地 址 字 含 有 3 个 部 分 。 第 一 部 分 是 高 4 位 , 它 们 称 为 EEPROM52 AT24C01A/02/04/08/16 的标识第二部分称为硬布线地址,它们是标识后的 3 位。第三部分是最 低位,它是读/写操作选择位。 第一部分: 器件标识, 器件地址字的最高 4 位。 这 4 位的内容恒为”1010”, 用于标识 EEPROM 器件 AT24C01A/02/04/08/16。 第二部分:硬布线地址,是与器件地址字的最高 4 位相接的低 3 位。硬布线地址的 3 位有 2 种符号:Ai(i=0~2),Pj(j=0~2)其中 Ai 表示外部硬布线地址位。 对于 AT24C10A/02 这两种 1K/2K 位的 EEPROM 芯片, 硬布线地址为 “A2,A1,A0”。 在应用时, “A2,A1,A0”的内容必须和 EEPROM 芯片的 A2,A1,A0 的硬布线情况,即逻辑连接情况相比较, 如果一样,则芯片被选中;否则,不选中。AT24C01/02:真正地址=字地址。 第三部分: 读/写选择位, 器件地址字的最低位,并用 R/W 表示。当 R/W=1 时, 执行读操作; 当 R/W=0 时,执行写操作。 当 EEPROM 芯片被选中时, 则输出 “0”; 如果 EEPROM 芯片没有被选中, 则它回到备用方式。 被选中的芯片。其以后的输入,输出情况视写入和读出的内容而定。 ② 写操作:AT24C01A/02/04/08/16 这 5 种 EEPROM 芯片的写操作有 2 种:一种是字节写, 另一种是页面写。 字节写: 这种写方式只执行 1 个字节的写入。字节写的过程如图所示,其写入过程分外部写和内部 写两部分,分别说明如下。 在起始状态中,首先写入 8 位的器件地址。则 EEPROM 芯片会产生一个“0”信号 ACK 输出 作为应答;接着,写入 8 位的字地址,在接受了字地址之后,EEPROM 芯片又产生一个“0”应 答信号 ACK;随后,写入 8 位资料,在接受了资料之后,芯片又产生一个“0”信号 ACK 作为应 答。到此为止,完成了一个字节写过程,故应在 SDA 端产生一个停止状态,这是外部写过程。 在这个过程中,控制 EEPROM 的单片机应在 EEPROM 的 SCL,SDA 端送入恰当的信号。当然在 一个字节写过程结束时,单片机应以停止状态结束写过程。在这时,EEPROM 进入内部定时的写 周期,以便把接受的数据写入到存储单元中。在 EEPROM 的内部写周期中,其所有输入被屏蔽, 同时不响应外部信号直到写周期完成。这是内部写过程。内部写过程大约需要 10ms 时间。内部 写过程处于停止状态与下一次起始状态之间。 页面写: 这种写入方式执行含若干字节的 1 个页面的写入。 对于 AT24C01A/02, 它们的 1 个页面含 8 个字节;页面写的开头部分和字节写一样。在起始状态,首先写入 8 位器件地址;待 EEPROM 答当了“0”信号 ACK 之后,写入 8 位字地址;又待芯片应答了“0”信号 ACK 之后,写入 8 位 资料。 随后页面写的过程则和字节写有区别。 当芯片接受了第一个 8 位资料并产生应答信号 ACK 之后, 单片机可以连续向 EEPROM 芯片发 送共为 1 页面的资料。对于 AT24C01A/02,可发送共 1 个页面的 8 个字节(连第一个 8 位资料 在内) 。对于 AT24C04/08/16,则共可发送 1 个页面共 16 个字节(连第一个 8 位资料在内) 。当 然,每发一个字节都要等待芯片的应答信号 ACK。 之所以可以连续向芯片发送 1 个页面资料,是因为字地址的低 3~4 位在 EEPROM 芯片内部53 可实现加 1,字地址的高位不变,用于保持页面的行地址。页面写和字节写两者一样可,都分 为外部写和内部写过程。 应答查询:应答查询是单片机对 EEPROM 各种状态的一种检测。单片机查询到 EEPROM 有应 答“0”信号 ACK 输出,则说明其内部定时写的周期结束,可以写入新的内容。单片机是通过发 送起始状态及器件地址进行应答查询的。由于器件地址可以选择芯片,则检测芯片送出到 SDA 的状态就可以知道其是否有应答了。 ③ 读操作:读操作的启动是和写操作类同的。它一样需要图所示的器件地址字。和写操纵 不同的就是信号为时执行读操作。 读操纵有 3 种方式,即现行地址读,随机读和顺序读。下面分别说明它们的工作过程。 现行地址读: 在上次读或写操纵完成之后。芯片内部字地址计数器会加 1,产生现行地址。只要没有再 执行读或写操作, 这个现行地址就会在 EEPROM 芯片保持接电的期间一直保存。 一旦器件地址选 中 EEPROM 芯片,并且有 R/W=1,则在芯片的应答信号 ACK 之后把读出的现行地址的资料送出。 现行地址的资料输出时,就由单片机一位一位接受,接收后单片机不用向 EEPROM 发应答信号 ACK“0”电平,但应保证发出停止状态的信号以结束现行地址读操作。现行地址读会产生地址 循环覆盖现象,但和写操纵的循环覆盖不同。在写操纵中,地址的循环覆盖是现行页面的最后 一个字节写入之后,再行写入则覆盖同一页面的第一个字节。而在现行地址读操纵中,地址的 循环覆盖是在最后页面的最后一个字节读出之后,再行读出才覆盖第一个页面的第一个字节。 随机读: 随机读和现行地址读的最大区别在于随机读会执行一个伪写入过程以把字地址装入 EEPROM 芯片中,然后执行读出,显然,随机读有 2 个步骤。 第一,执行伪写入――把字地址送入 EEPROM,以选择需读的字节。 第二,执行读出――根据字地址读出对应内容。 当 EEPROM 芯片接收了器件地址及字地址时, 在芯片产生应答信号 ACK 之后, 单片机必须再 产生一个起始状态,执行现行地址读,这时单片机再发出器件地址并且令 R/W=1,则 EEPROM 应 答器件地址并行输出被读数据。在资料读出时由单片机执行一位一位接收,接收完毕后,单片 机不用发“0”应答信号 ACK,但必须产生停止状态以结束随机读过程。 应该注意:在随机读的第二个步骤是执行现行地址读的,由于第一个步骤时芯片接收了字 地址,故现行地址就是所送入的字地址。 顺序读: 顺}

我要回帖

更多关于 50.5万元大写怎么写 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信