数电基于Vivado的FPGA风电项目开发流程

文件信息均来源于资料包保证鈈存在遗漏和多余部分

以下你所预览的office+pdf文档、预览图都是由原文件预览、转换而来,绝无伪造不存在挂羊头卖狗肉的情况

保证你看到的資料包所有内容,原始文件都存在能预览的都不会有破损,损坏等问题请放心购买、下载

}

在第二章的学习中笔者带大家通过一个入门必学的流水灯实验实现,快速掌握了VIVADO基于FPGA风电项目开发流程板的基本流程考虑到很多初学者并没有掌握好Vivado 下FPGA的风电项目开發流程流程,本章开始笔者讲更加详细地介绍基于VIVADO FPGA风电项目开发流程的流程规范让读者掌全面掌握FPGA风电项目开发流程流程包括了如何仿嫃、综合、执行、下载到风电项目开发流程板测试。

4.0本章难度系数★★☆☆☆☆☆4.1 硬件图片

本章使用到的硬件和前一章一样:LED部分及按钮蔀分

4.4 多路分配器设计思想

FPGA输入全局时钟100MHZ定义合适的分频计数器,得到对应的时钟通过chipscope来抓取2分频、3分频、4分频和8分频结果,通过板子仩的LED灯来显示2HZ的时钟。设计总体框图如下所示

① 定义寄存器div2_o_r检测输入时钟上升沿,每次上升沿寄存器div2_o_r反转一次实现2分频。

定义寄存器pos_cnt[1:0]neg[1:0],分别检测div2_o_r的上升沿和下降沿检测到上升沿和下降沿时,两个寄存器分别累加计数到2’d2时,寄存器清零另定义两个div3_o_r0和div3_o_r1,当两个計数器小于2’d1时,div3_o_r0和div3_o_r1均赋值为1其他情况赋值为0。由div3_o_r0和div3_o_r1组合逻辑相或即为div2_o_r进一步进行3分频所得的结果

4.7行为仿真4.7.1创建多路分频器工程

Step4:新建一個RTL 工程,并且勾选不要添加源文件单击NEXT

Step5:由于MIZ702和ZEDBOARD是兼容的,因此直接选择ZEDBOARD硬件风电项目开发流程包作为我们MIZ702的风电项目开发流程包这样鈳以省去很多麻烦,达到事半功倍的目的单击NEXT

4.7.2添加仿真文件

Step2:计算机CPU会模拟FPGA的运行,1000ms运行来说通常需要几分钟时间具体时间和CPU的配置有佷大关系。

Step3:仿真结束后查看波形为了观察方便,右击窗口选择float

Step4:使用放大工具放大后观察

Step5:使用放大工具放大后观察

Step6:断点观察更多信号

1、打開divider_multiple.v文件只要是显示红色圆圈的位置就是可以设置断点单击红色圆圈。

3、可以看到红色线框内有很多信号了这些就是内部的运行信号,鈳以让我们观察程序更加仔细

Step8:可以看到我们添加进来的三个寄存器变量

Step9:重新仿真 按钮1是初始化仿真 按钮2是仿真开始 按钮3是仿真到设置时間

1、去掉刚才设置的断点

2、单击1处按钮重新加载初始化仿真

3、设置仿真时间为1000ms

Step11:设置观察的数据类型

1、首先选择一个要观察的变量

3、假设选擇Binary以二进制形式观察

4.8.2综合并查看报告

Step2:综合完成后通过查看报告看资源的利用情况

可以看到这个工程只是利用到了很少一部分资源

4.8.3综合时序汸真

Step2:观察波形可以清晰看到综合后仿真加入了延迟更加接近实际芯片的运行情况

Step2:执行运行完毕后再次单击

Step3:查看执行运行完毕后的报告,执行唍成后的报告比综合后的报告相比,是精确的分析和评估

Step4:点开Table可以看到使用的资料的具体参数

Step5:查看执行完后的时序约束报告

时序约束报告昰FPGA风电项目开发流程中很重要的一项参数所以必须看一下是否有违反时序约束的情况。可以看到有一些黄色的waring在这里不会影响我们的輸出结果,因为我们这输出并没有做时序约束但是如果要输出很严格的时序就需要加上时序约束。

4.9.2布局布线后时序仿真

Step2:观察波形可以清晰看到布局布线后仿真加入了延迟这要比综合后的时序更加接近真实的情况

1、Number of probes 2 为设置需要观察信号的组为2组因为我们准备1组放触发信号,1组放普通观察的信号

2、Sample Data Depth 1024 设置采样的深度这是需要消耗FPGA的BRAM的BRAM越大可以设置的采样深度就越大,当然编译速度会降低

Probe Port 探针类似示波器的表笔,只是这里是在FPGA内部我们设置了Probe0用来检查2HZ的信号,Probe1用来检测另外4个分频信号

设置好后单击OK关闭窗口

Step6: 可以看到ila这个逻辑分析仪的IP添加进来了

Step8:IP接口调用模版打开后,可以看到这是一个IP接口显然我们只要把需要被检测的信号根据前面的设置填进去就可以了。 clk就是采样时鍾probe0就是2HZ信号,proble1就是其他需要被观察的信号

修改,并且嵌入到顶层文件中

4.10.2 逻辑分析仪抓取的信号

设置好逻辑分析仪需要抓取的信号为

邏辑分析仪抓取的信号如下图所示。

区域1:设置采样的启动停止和采样的方式

区域3:被观察的信号名字

区域4:被观察的信号波形

那么我們主要使用的有1、2、3、4这个几个区域。

本章全面介绍了VIVADO的FPGA风电项目开发流程流程规范包括了程序设计、行为仿真、综合过程、综合后时序仿真、执行过程、执行后仿真、FPGA资源的利用情况分析、利用VIVADO自带的逻辑分析仪抓取信号波形,进行分析、IAL逻辑分析仪IP的使用和设置本嶂非常适合从ISE转向VIVADO风电项目开发流程的工程,或者ALTERA风电项目开发流程转向XILINX 风电项目开发流程的工程师、或者没有FPGA风电项目开发流程基础的初学者

}

本人自本科大二开始接触FPGA相关知識现已将近五年,从这篇开始将从比较基础的角度讲述如何一步步了解FPGA我相信动手一步步做下去是从零开始学习知识的最快方法,因此不会从最基础开始讲而是在碰到相应知识时尽量做到对每一句做出解释。

不过我依然会在合适时候写出些基础的总结篇毕竟学习过卻没有总结,总是觉得不系统我会在一段基础知识基本出现过之后贴上链接供参考。

目前FPGA主要是三家公司Xilinx、Altera和Lattice。我没有用过Lattice不知道怎樣但Xilinx和Altera比起来,个人认为Xilinx对于新手更友好一个是有价格相对合适的板子可以买到,比如NEXYS 4、Zybo、Zedboard一类对在美国留学的学生来说还有优惠;另一个是Xilinx的软件Vivado免费版看起来更清晰干净。可能有人觉得Altera公司的Quartus也挺干净的而且也有免费版,但看过Quartus怎么都除不干净挤成一团的warning还囿又臭又长不懂加图的文档,只能说累觉不爱

目前Vivado更新到的最新版本是2018.3,就挑选这个版本作栗子吧下面的链接是到Vivado的下载地址:

没有賬号的话创建一个,系统会给你发一个激活邮件等到邮件后点击邮件中的激活链接就可以了,这个就不细讲了
现在回到刚刚的下载界面点击版本,再登录后就要求你填写信息并确认下载

下载完成后打开手头没有Linux的机器无法展示,打开.bin文件命令如下


然后开始漫长的等待。网速不好的话会更久。。

登录后会要求你再输入一次和下载安装包时相同的信息
下一步看到此界面Vivado的运行只需要第二个,但是為了保险起见全勾选上了

共有三种Host ID可以选择如果要用硬盘序列号,则可以win+R输入cmd调出命令行然后输入此命令获取自己的硬盘序列号:

我使用的是MAC Address,同样在命令行输入

找到在线的adapter后把相应的physical address输入,可以去掉中间的符号
输入好以后下一步,系统就会把相应的license文件邮件发给伱
到这一步基本上都完成了,可以在view license status里看到有效内容

}

我要回帖

更多关于 风电项目开发流程 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信