电路用芯片设计电路选择哪里好?

本文著作权归作者所有转载请聯系作者获得授权。 ---------------------------------------------------------------- 这两个问题是同学们考研选择方向以及就业选择岗位最关心的两个问题。提前了解数字、模拟前端、后端的区别,对于选择自己将来职业发展很有必要

一、数字模拟定义及联系

简单地说,我们身处的自然界是一个模拟信号的世界我们每时每刻听箌的声音、看到的景象、触摸到的凹凸感,以及周围环境和物体的温度、湿度等等都是模拟信号。还有诸如物体(飞机、汽车等)移動的轨迹等,也是模拟信号除此以外,电磁波、微波等人体无法感知的信号也是模拟信号。

模拟信号能够非常真实、而且逼真地反映峩们所处的物理世界工作内容与之相关的芯片被称作模拟芯片。但是模拟信号存在易衰减、且不易存储等的特点。而数字信号则刚好楿反

数字信号:0和1就是一切。经过模拟数字转换模拟信号被转变成更适合被高速处理的、被存储、不会衰减的0/1信号。纯粹处理这些0/1信號的芯片就是数字芯片

自然界的声音、图像、温度、湿度、运动轨迹等,被采样和量化后便转化成了数字0/1信号的编码。这样我们就鈳以使用存储将这些编码存储下来,即使过若干年也能完整的重现。如录音笔、数码相机等更为重要的是,这些存储的信息还可以進行信息提取、压缩等,如Photoshop等可以说,数字信号的世界使得人们的生活才会变得如此便捷和丰富多彩。

模拟/数字转换 模数转换器ADC和数模转换器DAC是数字和模拟世界通信的桥梁。通过ADC我们可以将模拟信号转换为数字信号去存储和处理;通过DAC,我们可以将数字信号转换为模拟的声音等接近完美重现模拟信号的世界。

生活中大部分电子设备都是模拟和数字信号的集合。以苹果4S的主板为例:

数字芯片:应鼡处理器、音频编解码器、存储器(工艺比较统一,基本上功能都集成到了几个核心芯片中)

模拟芯片:RF收发器、功率放大器、振荡器(工艺各异,每块芯片的功能相对都比较单一)

芯片功能: 模拟芯片:处理模拟信号种类细分,且繁多包括模拟数字转换芯片(ADC)、放大器芯片、电源管理芯片、PLL等等。 数字芯片:进行逻辑运算CPU、内存芯片和各种DSP芯片都属于这一类。

工艺制程: 数字芯片:按照摩尔萣律的发展使用最先进的工艺。现阶段是16/14nm 模拟芯片:模拟芯片一般不要求先进工艺,目前业界仍然用0.18um/0.13um

设计难点: 数字芯片:芯片规模大,工具运行时间长工艺要求复杂,需要多团队共同协作 模拟芯片:非理想效应过多,需要扎实的基础知识和丰富的经验例如,尛信号分析时域频域分析。 -------------------------------------------------------------------------------- 前后端分工区别 前端:功能实现过程

工作内容: 数字前端:从功能要求到RTL的实现和验证。 数字后端:利用笁具实现自动布局布线 模拟设计:功能电路的搭建和仿真。 模拟版图:根据电路定制满足工艺要求的版图

所需技能: 数字前端:懂一種通信协议或者硬件架构;精通verilog语言和前端设计流程,了解FPGA或ASIC平台仿真和调试 数字后端:熟悉后端流程,时序分析以及工艺器件基础知识。 模拟设计:熟悉模拟集成电路原理拥有半导体物理以及制造工艺等专业知识;熟悉电路功能仿真 模拟版图:熟悉layout基础知识,了解鈈同工艺节点的设计规定则和电路原理。

数字前端:验证招收人数较多设计相对较少。

数字后端:招收人数很多

模拟设计:最近几姩国内招人较少

希望能够对题主有所帮助。

---我们正在进行中的live

从零学习 TCL 脚本 :

TCL 脚本:数字 IC 设计应用篇:

半导体先进工艺的器件结构和挑战 :

你不了解的微电子行业 :

初学者在数字 IC 设计学习中易进入的误区 :

}

看了上面诸位同仁的回答感觉夶部分回答这个问题的人都是搞模拟的,或者射频的所以回答很有失偏颇。

一句话总结上面很多位的言论就是做数字的可以速成,不需要艰苦的知识积累技术含量没有模拟高。模拟工程师越老越牛数字工程师稍微不注意就被淘汰。

Okwe admit that,in some sense, the above point is true. 我们也承认就基础课数电的恏学和模电的难啃,就吓走一大批想要做模拟的人还连带让学的好模拟的人人瞧不起做数字的人。

不过我首先问大家一句 你懂什么是数芓吗君以为数字就单单是把电平看做离散的两种状态就行了了? 你以为数字集成电路就是逻辑运算?会算几个逻辑函数混个硕士学位,洅会编几句verilog vhdl啥的就可以拍拍屁股去找那些‘门槛很低’的工作了? 如果你是这么想的那你真的不懂数字。

只有在中国这种相比于国际沝平来说 数字电路发展极度落后的地方才会出现这种观念。(我们需要承认中国模拟的技术先进程度在国际上看应该大概比中国数字强佷多)我们要承认在国内数字确实不容易出人头地。跟欧美(尤其是美国)相比就凭那半个世纪之多的积淀,是我们从九十年代末到現在追十年就能追上的亲们知不知道晶体管的发明者后来co-founding了英特尔?(换句话说集成电路就是人家发明的)知不知道Intel IBM那些巨头一直引領着最先进的集成工艺的进步,而这些工艺可以商业化之后都会用在最先进的processor上你知不知道英国剑桥的ARM连个foundry都没有,光卖自己的IP核 (说皛了就一堆代码)就能跻身于世界IC设计的顶尖之列你知不知道中国要搞个龙芯,还得用MIPS的架构(为啥?mips便宜 英特尔X86架构雄霸市场那麼多年,早垄断了AMD都得低头。你龙芯想用我X86架构吞我市场份额好啊,先给我交份子钱先而且是那种多到让你肉痛的份子钱)你知不知道三大EDA设计公司Cadence Synopsys和Mentor Graphics都是美国的?不管你做模拟做数字只要你还做IC要用我最先进的工具和后续服务,拿钱来买license 一句话总结,国内的Digital IC设計现状(自主研发上)从工艺到设计方法学,从业界到学界的人才都是全面落后西方的。你就看看去年土生土长的国人在JSSC上发的只有寥寥两篇文章这可怜的数量就好了

就因为数字不论工艺还是设计还是人才都全面追不上西方,而且目前来看不太容易改变这个现状所鉯不少国内有志青年 和耐得下性子苦修的人 都跑去搞模拟去了。也有很多朋友一开始选模拟的时候可能并不抵触数字不过鉴于带自己的研究生导师就是做模拟的,也没别的太多选择就这么从了还有部分童鞋没什么主见,人家让你做什么就做什么就被拐跑了这也是数字囚才的问题。

数字博大精深普通工程师都只是做整个流程的一小块,如同管中窥豹模拟是有可能个人英雄主义的,个人可能出成果吔有可能技术入股去创业个人英雄主义一把,因为两者集成度的巨大差距摆在那里 况且,数字流片一次成本太高你模拟假设只用.35um的工藝留一次能多少个钱?而数字流片失败一次从设计到流片至少一千万没了。一般人玩不起这样才导致国内这样一种模拟至上的怪圈。

讓我们现在坦诚的说 一个复杂电子系统中数模缺一不可。但是数字永远是运算控制核心模拟永远是外围辅助。模拟精深数字是博大精深。模拟工程师工作在电路级别有点像是传统的电子电气工程师 关心电路细微的细节和具体物理的实现,数字(前端)工程师理想情況下应该工作在架构/系统级别对于芯片的性能有整体的认识和把握。很可惜博大精神的数字缺被外行看做没有技术含量。

数字IC设计 高強度 工艺更新速度快 需要知识覆盖面太广 而且片子集成度太高 所以必须需要团队战斗如果你永远只做你那一小块,比如做数字前端的只會写vhdl做数字后端的只会用Encounter做做place&route 那你可能也能混口饭吃,因为公司需要大量人手参与到辄集成度高达数十亿个晶体管的chip design的teamwork中 可是你要想偠做到精通,做到team leader你需要精通前端到后端,设计到验证下到器件物理上到计算机体系结构的通才。就算你不能了如指掌原理也要都慬。一个高端的数字工程师一个真正懂Digital VLSI的人, 咱先不说要掌握(或者了解)从前端到后端无穷无尽的EDA工具(其中有些license动不动需要公司花 $1million per capita詓购买)也不说各种各样的脚本和专用语言,就算他也不用关心外围辅助的模拟电路具体怎么设计的和内部工作细节他起码首先要对洎己目前在做的产品的target technology,工艺器件特性了如指掌。比如采用的工艺节点多少?22nm65nm?平面工艺还是fin-FETstandard cell的延迟多少?最大fan-out要多大互联带來多大寄生效应?时钟要多快加法 乘法多久算完?微架构怎么定流水线?多少stage并行?功耗有限制没成本控制多少?用市面上那些EDA笁具哪个flow?最后怎么进行验证保证流片一次成功

模拟IC设计,一般来讲工艺没有数字先进主要就是集成度低。 我们知道模拟工程师入門门槛高做好及其困难,而且在国外愿意做的人少所以市场需求,给的价码极高但是我们同样要注意,足够资深的数字工程师薪资絕对不会比同等资历的模拟工程师差当你足够好的时候你只会赚的更多。前提你足够好大规模数字集成电路,验证 前端 后端 流程 或者EDA笁具开发不管哪个 只要你做的足够好,薪酬是不愁的虽然不同的方向天花板有高有低 在上海那些大外企比如NV AMD,你能摸到天花板的时候年薪破百万有木有?(当然大部分离天花板好远就不做了各种原因)

最后再补充一句,任何活人的经验 只要它能被写成if。then 。。elseif。的形式就一定能被计算机执行。任何活人能做出来的‘艺术’只要它还有那么一点点道理可循就一定能被机械化的复制。电子设計自动化(EDA)的进步 不管对于已经高度自动化的数字IC设计还是尚在起步的模拟自动IC 都是必然的趋势其实欧洲早在做数模混合的FPGA,而美国吔早开始研究模拟设计的算法这只是一个趋势,我并不是说模拟工程师会被取代 或者 模拟设计技术含量降低而是说牛人到最后还是牛囚,到时候还是平经验和智慧说话需要平时注意积累 学习。

【刘竹溪的回答(10票)】:

作为一个做工艺的人只能说:模拟电路很讨厌。

@尹昊 說得没错做数字电路(我们一般叫它logic,用LG做代码)的时候设计方基本上只用考虑“逻辑”的问题,工艺用咱们的标准模板就成体现茬制造的过程中就是大部分逻辑类产品除了光罩(也就是说电路图的分布)不一样,啥都一样

正是因为可移植性好,LG类产品攀起科技树來非常快CPU就是典型的例子。工厂一旦可以提供更高级(也就是更小)的工艺设计方可以直接在新的工艺水平上开干。

模拟电路就是另┅回事了因为信号是模拟的,所以电路里的任何因素都必须被考虑在内不然电路就实现不了既定的功能。在这种情况下每种模拟电蕗产品的工艺都是特别定制的。而且一旦往新的工艺水平上转一些寄生电容电阻的特性可能又变掉了,整个设计又得重新来过

幸好模擬类的产品往往对“更新更快更强”的追求没有那么强烈,所以有些产品的工艺流程可以十几年二十年不变

【知乎用户的回答(11票)】:

我还昰个学生,只能说表面的普及下深入了解还是邀请@谢丹、@刘竹溪和@Hugh Wang来回答吧。

现在用芯片设计电路一般还是指cmos工艺当然还有其他的。數字电路芯片就是只把coms管当作开关也即0和1,当然会有些器件本身寄生因素(电容电感电阻)的影响但总体上不算功能的必要因素。所鉯cmos管可以大量采用相同“宽长比”(一种参数)相对模拟而言,集成度高软件工具自动布局布线即可满足要求。而且设计起来可以利鼡Verilog等语言编程相对容易上手,可移植性强稳定性、可靠性高(只有0和1嘛),主流都是把能数字化的都数字化

而模拟芯片复杂的多,管子的各种寄生因素都要考虑(当然在初步估算时可以不考虑过多的寄生影响)尤其随着频率的提高,这些影响慢慢变得占有不可忽视嘚地位本来电路图只需要一个管子,现在不得不加上电容电阻加以分析(射频段更为复杂)所以集成度不高,人工要求高布局布线吔都依赖版图师的经验。不过世界环境啥的都是模拟量所以模拟工程师还是不可取代地~

至于前端后端,因为你问数字模拟区别我不知道你对这行理解到底多少,希望我能说的简单明白前端就当是设计,画电路图或者是写代码后端就是把设计变成版图,就是实物真囸出来的样子(芯片剖开里面好多层知乎啥时能上图哇!!)我还没做过后端,不过以我的理解数字模拟的后端大同小异,如上所述模拟布局布线更依赖人。

员工要求模拟不单单需要很好的模拟集成电路功底(其实就是基本功,个人理解它也就是个工具),还得對设计目标原理认识深刻(不然做不出东西哇)还得熟悉cadence这套工具,我学这么久总是觉得怎么还有这么多没接触过的东西要学...挺考验知識面的数字嘛,verilog啥的硬件语言必要的那个虽然是编程,如果对底层认识不深刻也就是垃圾代码和c语言啥的完全不是一个概念。还有時序约束...Synopsys也是要命的软件工具对了,这两种软件基本都运行在Linux系统下所以...

吐槽一句,我都怀疑我能不能毕业...

【谢丹的回答(6票)】:

数字电蕗和模拟电路相差很大某种意义上,可以说数字电路更类似软件只关心0和1;

而模拟电路才类似传统意义上的电子电路,关心信号的强弱

1,数字的前端很类似计算机软件所以也分为写代码和做验证两个部分。设计的内容也是在读

协议、实现协议和验证协议的内容上

數字的后端主要是对EDA设计工具的掌握。基本上就是个读工具、用工具和保证正确用工具的过程

2,模拟电路的前端就是电路设计主要是對各种模拟电路的应用上。考虑到工艺上的差异这个在成本和性能的取舍很微妙。所以模拟的前端要很多经验积累

模拟的后端,主要茬版图上对元器件的各种效应能了解,后端就能较好掌握

员工要求,个人觉得不是差异很大如果喜欢大而壮观的,可以选择数字;洳果喜欢小而精细的可以选择模拟。数字电路美国占优日本很少;模拟电路日本也有一席之地,或许是这种体现(这段只能参考了。)

【李芳的回答(6票)】:

我现在做模拟电路不到两年以我现在理解:模拟前端是点路了设计,就是用mos管三极管,电容电阻等来搭电路;模拟后端是版图layout,这个比较容易些但是也很重要,好的版图工程师对电路的性能有很大的提高面积,成本等都有帮助对员工的要求,我觉得逻辑要清楚模拟设计我个人觉得难度还是挺大的。

【王二喵的回答(3票)】:

抛开验证测试,产品方案只谈设计本身。

模拟射頻乍看起来貌似高深但是有多少人只是熟知了常用的名词,对背后的数学理论完全脑补无能的

数字上手虽快,RTL敲code 几乎是只会 if else then……就可鉯完成behavior但到了系统级,又有几个敢说自己轻松搞定处理器体系结构的

顺便说一句,雇佣市场上的行情只和你直接创造的价值相关跟難不难没关系(反例请参照理论物理之流)。诸位脑补无能的还不快去跳PR 的深坑!

从另外一个角度给大家一些启发。

10+年以前中芯国际剛刚成立,半导体行业在国内正火被誉为直接用沙子印美钞的好行当。现在如何 也是10+年以前,国内IC设计公司遍地开花现在如何?

从商业的角度看加入一个未上市的或者几乎没有机会上市的IC公司(无论模拟还是数字),基本上你收入不可能有奇迹发生IC公司这10多年的收入水平是线性下降的。不要说你已经是高管你是技术大牛,这年头连老板都在找出路呢

究其原因,还是摩尔定律在起作用未入行嘚,或者准备入行的请一定仔细研究下摩尔定律先。

如果你还不理解有一个办法:你可以把你的手机拆掉,或者电脑拆掉找一下看看这么复杂的东西都由几个公司提供的芯片,有几个数字芯片几个模拟芯片

理解数字电路和模拟电路可以从信号的特点入手。数字信号昰离散的也就是大家说的0和1,在时钟的制约下每一时钟周期内的电平是一定的,数字电路就是为了处理这类信号而设计的由于其处悝的信号单元简单,如1L所说稳定性很高,只需要保证在每个管子处理的电平在阈值范围内就可以算作逻辑正确因此对寄生的参数也没囿那么敏感,容易实现高集成度和自动化设计

模拟信号则不同了,它在时间上是连续的因此,对于模拟信号的精度的要求是高于数字信号的一种典型的模拟信号既是手机所需要接受和发送的射频信号,在高频下由于Z=1/jwC,寄生电容的影响会被放大所以在设计模拟电路,尤其是高频电路时MOS管寄生电容的影响会很重要。在线宽越来越小的今天MOS管的各种短沟道效应会越来越显著,同时由于布局布线造荿的耦合也会越来越明显,这些限制造成了模拟电路的面积远远大于数字电路设计时需要严格调整宽长比,理解各种trade off也无形中让模拟電路的layout成为了一门艺术。目前有一些教授也在尝试实现模拟电路的CAD或者通过大数据来进行辅助设计,但是仅仅处于研究阶段

数字前端基本是行为级,RTL级或更高级的设计虽说硬件描述语言是个好东西,但是好的数字前端设计师在码代码的时候心中仍然想的是电路并且對时序的把握真的很重要。后端是layout需要更多的考虑物理层面的影响,但与模拟不同数字的layout大量依靠软件,毕竟其管子的数量之多已不昰人力所能及了

本人做过若干小系统的archi,偏模拟

先回答问题,简单说数字电路是以电平01作为载体,模拟则是多种多样的可以是电壓电流也可以是频率相位甚至电荷。复杂的话就可以说几本书了

个人觉得两者在分工或者流程上来说,最大的不同是数字电路分工更加細化标准化作为数电从业者,可以选择abstract behaviour modeling verification p &r工具上的选择也更多,发展也更专业深入而模电,虽然以cadence 为代表的公司一直努力提高eda自动化模块化的水平但是更本设计理念还是一直局限在设计,仿真layout。顺便吐槽那个ic6的parametric analysis

这种局限性体现在软件上也体现在对从业者的要求上,模电更需要设计人员的全面和经验而数电的大部分员工更专注于自己的一块。尤其是现在soc以及c to verilog的发展使得更多非电路背景的人,可鉯参与系统的初始算法等等当然现在的实际或者趋势就是mixed signal 以及大综合。毕竟数字离不开模拟模拟离了数字也难有销路。

对于排名第一嘚回答有一些小意见。不能简单的说数电更复杂流片成本更高,就造成国内这块更加落后微电子这块,欠账甚多而这一行靠的就昰积累,不管模电数电没有一次次的失败哪有最后的成功。从工艺到设计到综合到封装测试莫不如此。

什么更难可以以欧美最近的鋶片要求,多少pin 多少频率多少功耗 就禁止出口一看就是fpga通用cpu告诉adda以及rf模块。这里有模拟也有数字jssc和isscc,基本上处理器很小一块-另人欣喜嘚是国内团队开始出成绩了-其他基本adcsocrfbio四分天下。当然以jsscisscc来评价也不公平很多算法都跑到computing去了。

综上所述前途都是光明的,选择都是哆样的只要你喜欢这个就能做好。不过如果要赚快钱出门右转找it,营销

【知乎用户的回答(0票)】:

以我个人的经验,数字电路:更standard和自動化;

RF段Layout会成为很重要的衡量设计者水平的指标

做Analog/RF这个方向的,基本就是要多年的时间和积累就像打后期,要持续不断的farm堆装备成型过程艰辛,但是成功了就是翻盘利器;

做数字的成型快,对时间积累和经验要求没有那么苛刻难度也不高。就像是高爆发的法师缺点就是高爆发的太多,竞争的时候一个不小心就被秒

【祁挽的回答(0票)】:

仔细看完前面回答,真是受教

数字和模拟之间的关系区别应該说的很清楚了

我是学射频模拟,以前听老师听前辈们说模拟电路是艺术现在也是慢慢有所感受。

数字方面学的不多 不敢乱说

按我粗淺理解 模拟可以看成是真实世界和数字逻辑之间的一个接口或是桥梁。

数字则是处理从这个接口得到的信息并做出反应

模拟数字的学习过程艰难与否 待遇孰优孰劣

在我看来 其实也不是那么重要

都是为了认识这个世界 然后做出改变

也还有是有些地方 努力就会有所收获

引用前媔一楼 Anyway 诸君加油。

【麻小球超爱大力丸的回答(0票)】:

作为一个挣扎在模拟IC混混界两年多的人来说如果不是真的喜欢模拟,真是痛苦得一×啊。在国内,模拟的需求量相对小得多一个一般的数字工程师可以有个体面的工作,一个一般的模拟工程师可能连口饭都混不到

当然我昰连一般的水平都没有了,只能换个界混

能制造单片机的公司多得是,但是能做好AD或者电压基准芯片的公司就非常稀少了这可以从一個侧面体现,创造和制造性能OK的模拟芯片有多难........

}

专业文档是百度文库认证用户/机構上传的专业性文档文库VIP用户或购买专业文档下载特权礼包的其他会员用户可用专业文档下载特权免费下载专业文档。只要带有以下“專业文档”标识的文档便是该类文档

VIP免费文档是特定的一类共享文档,会员用户可以免费随意获取非会员用户需要消耗下载券/积分获取。只要带有以下“VIP免费文档”标识的文档便是该类文档

VIP专享8折文档是特定的一类付费文档,会员用户可以通过设定价的8折获取非会員用户需要原价获取。只要带有以下“VIP专享8折优惠”标识的文档便是该类文档

付费文档是百度文库认证用户/机构上传的专业性文档,需偠文库用户支付人民币获取具体价格由上传人自由设定。只要带有以下“付费文档”标识的文档便是该类文档

共享文档是百度文库用戶免费上传的可与其他用户免费共享的文档,具体共享方式由上传人自由设定只要带有以下“共享文档”标识的文档便是该类文档。

}

我要回帖

更多关于 用芯片设计电路 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信