4级伪随机码方波发生器电路图的电路,码发生循环的长度15,全0时会发生什么情况

课题设计一
伪随机码产生和码变换综合设计实验报告_百度文库
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
课题设计一
伪随机码产生和码变换综合设计实验报告
上传于|0|0|暂无简介
阅读已结束,如果下载本文需要使用1下载券
想免费下载本文?
定制HR最喜欢的简历
你可能喜欢 上传我的文档
 下载
 收藏
该文档贡献者很忙,什么也没留下。
 下载此文档
正在努力加载中...
毕业设计:伪随机码发生器研究与设计
下载积分:2500
内容提示:毕业设计:伪随机码发生器研究与设计
文档格式:DOC|
浏览次数:6|
上传日期: 16:54:48|
文档星级:
全文阅读已结束,如果下载本文需要使用
 2500 积分
下载此文档
该用户还上传了这些文档
毕业设计:伪随机码发生器研究与设计
官方公共微信您所在位置: &
&nbsp&&nbsp&nbsp&&nbsp
伪随机序列相关的超声波测距系统研究(原版论文).pdf98页
本文档一共被下载:
次 ,您可全文免费在线阅读后下载本文档。
文档加载中...广告还剩秒
需要金币:100 &&
你可能关注的文档:
··········
··········
太原理工大学
硕士学位论文
姓名:杨斌虎 座机电话号码 太原理工大学硕士研究生学位论文 伪随机序列相关的超声波测距系统研究 摘要 距离测量在工业、农业、国防等领域占据着重要的位置,高效能、高
精度的测量技术一直受到国内外测控专家广泛的关注和研究。超声波在传
播中良好的束射性和方向性,易于产生,成本低廉,不受环境的限制,而
且检测技术难度相对较小,因此,在很多领域得到广泛应用。 传统的超声波测距采用的主要原理是通过比较发射超声波与接收到的
超声波时差,从而根据距离与时附的关系,得到测量距离,采用的主要硬
件是单片机系列,而测量算法就是做简单的信号比较从而得到超声波往返
时间,这种方法在一些要求不高的场合可以应用,但是,由于单片机芯片
本身的限制以及算法的相对简单,使得测量精度较低,测量有效距离较小,
而且易于受到环境中很多因素的干扰,这样提高测量精度和抗干扰性成为
超声波测距技术发展的主要方向。 伪随机码序列具有良好的自相关特性,在通讯、密码学领域具有广泛
的应用。m序列是伪随机信号中相对应用更多的一种序列,可以由硬件 即
移位寄存器 产生,也可以由软件通过产生,把m序列应用于电子测控领
域是近些年研究的热点问题之一。 是TI定点DSP 能,专门用于电机的数字化控制,该芯片良好的性能价格比使得其成为与
心CPU。 I 太原理工大学硕士研究生学位论文 用m序列驱动超声波换能器,发射超声波,对超声波回波信号与发射
信号作相关运算,取出信号往返时间,从而精确的测量距离,是本文的理
论立足点,而DSP良好的数字信号处理能力使得这种算法有了良好的硬件
正在加载中,请稍后...MAX4145在伪随机码产生电路中的应用_模拟技术论文_教育文摘_实用文摘
MAX4145在伪随机码产生电路中的应用_模拟技术论文
摘要:主要介绍了maxim公司的差分放大器max4145的技术参数、性能特点和典型应用,给出了max4145在扩频码序列产生电路中的电路原理和连接方法。 &&& 关键词:伪随机码;差分放大器;cmrr;sfdr;max41451 引言随着超大规模集成电路技术、微处理器技术的飞速发展和一些新型元器件的应用,扩频技术已经广泛地应用到通信的各个方面。图1所示是一种扩频通信系统的原理框图。一般情况下,扩频通信系统中的发射机和接收机都必须预先知道一个预置的扩频码,这种扩频码实际上是一个足够长且尽量接近于噪声的伪随机数字序列。系统通过伪随机码的捕获与相关可以获得二分之一码元宽度的同步精度。这样,伪随机码的质量以及跟踪和同步的精度对通信质量有着直接的影响。因此,设计性能优异的高共模抑制比、低噪声前置放大器对于扩频通信系统有着重要意义。MAXIM公司的差分放大器MAX4145芯片以其出众的性能在通信系统设计中应用很广,其指标完全可以满足扩频通信系统中伪码产生电路的要求。MAX4145系列芯片速率高、失真小、带宽宽而且共模抑制比高,是高速数据传输系统中差分电路的理想器件,因而可广泛应用于差分信号至单端信号的转换电路、双绞线与同轴线的转换设备、高速差分信号接收电路、高速放大设备、数据采集设备以及医疗器械等方面。2 MAX4145的工作原理和性能特点2.1 MAX4145的工作原理MAX4145采用差分模式工作。它具有信号摆幅小、偶次谐波分量少、对噪声的抗干扰能力强等特点,相对于单端输入方式,MAX4145可提供更优的谐波失真(THD)和无杂散动态范围(SFDR),因而具有较高的共模抑制比(CMRR)。MAX4145内部采用三运放组合技术,可完成差分输入、增益放大和信号输出三种功能。其内部结构如图2所示。其中,运放A1和A2完成差分输入和增益放大功能,运放A3主要进行信号的输出和阻抗匹配。MAX4145除了具有输入阻抗大的特点之外,其前级的共模增益失调及漂移产生的误差可相互抵消,并可抑制后级共模信号, 同时可将双端信号变为单端输出,以适应接地负载的需要。除了三个运放之外,MAX4145还包括输出短路自保护电路和输入保护电路,从而增加了芯片的抗毁性。通过外接电阻RG可对增益在+1V/V~+10V/V范围内进行设置。将RG接在管脚RG-和RG+之间时(见图2),其增益的计算方法为:G=AV=1+(1.4kΩ/RG)共模抑制比是衡量差动放大器对共模信号抑制能力的一个参数,该参数值越大,表明抑制能力越强。2.2 MAX4145的性能指标MAX4145的主要性能参数如下:●增益可调范围为+1V/V~+10V/V;●-3dB带宽为180MHz(VOUT≤0.1VRMS,AV=1V/V);●压摆率SR=600V/μs(-2V≤VOUT≤+2V);●共模抑制比CMRR=75dB(f=10MHz);●无杂散动态范围SFDR=-92dBc(f=10kHz);●噪声为3.8nV/√Hz(G=+10V/V);●建立时间ts=20ns(-2V≤VOUT≤+2V,to 0.1%);●掉电模式电流为800μA。3 MAX4145应用注意要点可以通过将SHDN置高来使MAX4145工作在掉电模式,此时输出为高阻态。差分模式通常要求IN-和IN+对称驱动,也就是说,两个输入信号在连接到IN-、IN+的驱动电路以后,其相位必须保持一致,并尽可能降低其共模增益误差。在普通应用中,REF接地时,SENCE可同OUT相连。而在一些信号传输距离较长的应用中,可将SENCE和OUT同时连接到负载,这样可以补偿距离损耗,降低电压误差。为了降低输出增益误差,增大频率响应,设计时应尽量降低SENCE端的电容和阻抗,同时输出端REF和SENCE的匹配问题也很关键,因为REF和SENCE端的失配会导致共模增益损失。在一般使用条件下,当端接阻抗为非容性负载时,MAX4145具有最佳的AC性能。而一般在负载电容不超过25pF时,输出电压不会发生振荡,但对频率响应则会产生一定的影响,因此,如果负载电容过大,输出就会产生振铃。为了驱动容性较大的负载,降低信号振铃,可以在放大器输出和负载之间加上隔离电阻,隔离电阻阻值可由信号频率和负载容性来确定,此时的带宽将由隔离电阻和负载电容组成的RC环路来决定。因此,增大负载容性会降低整个电路的信号带宽,而隔离电阻则会降低分配到负载的电压。4 在伪随机码产生电路中的应用4.1 伪随机码产生电路伪随机码序列一般可以利用移位寄存器网络产生,该网络由R级串联双态器件移位脉冲产生器和模二加法器组成。图3所示是一个简单的四级移位寄存器网络示意图,该网络可以产生码长为15的伪随机码。利用FPGA可实现移位寄存器网络以产生伪随机码信号,并实现逻辑控制和时钟分配等功能。对于FPGA输出的TTL信号,其处理方法有两种:一种是直接送至运放进行信号调理输出;另外一种是将TTL经过D/A转换及信号调理后再输出。经过分析与实际测试,笔者发现熡捎冢疲校牵潦涑龅男藕畔辔欢抖较为严重,甚至会造成信号边沿不稳,而且存在着严重的寄生信号,因而输出的伪码质量较差;而如果经过D/A转换后再进行调理输出,这种影响会得到削弱,信号质量会得到提高,因此第二种方法更为可取,在实际应用中,笔者就选择该方法进行电路设计,并选择差分电流输出型D/A经过MAX4145放大后直接输出。基于MAX4145的伪随机码产生电路原理框图如图4所示。 该伪随机码产生电路在工作时,系统可以通过并口将伪码数据分配给FPGA,也可由FP-GA自主产生伪码信号,同时由FPGA完成信号处理、时钟分配、码同步产生以及波形存储等功能。 MAX4145的作用主要是完成差分到单端输出的转换和放大。&&& 4.2 MAX4145应用电路设计根据系统对伪随机码的需求,MAX4145的应用电路设计如图5所示。图中,输入信号IN+和IN-由上级D/A转换后,再经匹配电路送至MAX4145。在输出电路中,REF接地,SENCE和OUT相连,该电路的增益约等于4。4.3 结果测量和分析对于伪随机码,通常主要关注的是其超调量和边沿上升时间。笔者对该系统中MAX4145的输入差分信号和输出单端信号分别进行了测量,其测量结果列于表1。表1 伪随机码系统中max4145信号的测量项& 目电压(v)正相超调(%)上升时间(ns)输& 入in+in-in+in-in+in-0.42-0.4228.5726.6710.5511.50输& 出-1.70~+1.726.9210.53由表1中的数据可以看出,采用MAX4145可以大大降低输入信号的超调量,而且信号边沿上升时间也有所改善,能够产生相关特性较为理想的伪随机码。在实际的扩频通信系统中,这些改善和提高将更有利于信号的恢复和解调,从而起到提高系统性能的作用。在次m序列一个周期的15个元素中,共有8个游程;n?1;m序列的一个周期(p?2?1)中,游程总数为2;数占游程总数的1/2;长度为2的游程个数占游程总;2.2.3移位相加特性(线性叠加性);周期为p的m序列;mp?mr?ms;mp;和它的任意延迟位移后的序列mr模二相加后所得序列;ms;仍是该m序列的某个位移序列;ms;,即:;其中:;mp?0001111
在次m序列一个周期的15个元素中,共有8个游程。
m序列的一个周期(p?2?1)中,游程总数为2。其中长度为1的游程个
数占游程总数的1/2;长度为2的游程个数占游程总数的1/4;长度为3的游程个数占游程总数的1/8;??一般地,长度为n的游程个数占游程总数的1/2。
在长度为n游程中,连1游程与连0游程各占一半,长为(n?1)的游程是连0游程,长为n的游程是连1游程。
2.2.3 移位相加特性(线性叠加性)
周期为p的m序列
和它的任意延迟位移后的序列mr模二相加后所得序列
仍是该m序列的某个位移序列
某次延迟位移后的序列。例如:
延迟两位后得mr:mr?110,?将p与mr模二相加得:
为延迟11位后的序列。
2.2.4 自相关特性
m序列具有非常重要的自相关特性。
在m序列中,常常用+1代表0,用-1代表1。此时定义:设长为p的m序列,记作:
经过j次位移后m序列为:
仍是m序列中的元素;所以上式分子就等于
aj?1,aj?2,aj?3,
由移位相加特性可知,
m序列中一个周期中0的数目与1的数目之差。另外由m序列的均衡性可知,在一个周期中0比1的个数少一个。
其自相关函数图如图2.1所示:
图2.1 m序列自相关函数图
2.2.5 伪噪声特性
如果我们对一个正态分布白噪声取样,若取样值为正,记为+1,取样值为负,记为-1,将每次取样所得极性排成序列,可以写成: ?+,-1,+1,+1,+1,-1,-1,+1,-1,? 这是一个随机序列,它具有如下基本性质:
(1)序列中+1和-1出现的概率相等;
(2)序列中长度为1的游程约占1/2,长度为2的游程约占1/4,长度为3的游程约占1/8, 一般地,长度为k的游程约占1/2,而且+1,-1游程的数目各占一半;
(3)由于白噪声的功率谱为常数,因此其自相关函数为 一冲击函数?(?)。 由此可见,m序列的性质与随机噪声相似,因此称为伪随机序列。
2.3 m序列的产生
下面以长度(周期)为7的m序列为例说明m序列的产生过程。
如图2.2所示,长度等于7的m序列(简称7位m序列)产生电路的逻辑框图。
m序列产生电路逻辑框图
在CP时钟脉冲的作用下移存器的状态不断变化,每输出一位,经图8所示流程产生新的一位。表2.3为移存器状态变化表。
表2.3 状态变化表
CP 0 1 2 3 4
假设移存器的初始状态为a0?1,a1?0,a2?0。由表2.3可见,在第7个时钟脉冲时移存器的状态又回到初始状态,这说明此序列的长度等于7。如果移存器的初始状态为全0,即a0?0,a1?0,a2?0。则此状态在时钟脉冲作用下不会改变。即全0初始状态下产生的序列为全0序列。非全0状态下,移存器状态变化的顺序由图2.4及图2.5表示:
非全0状态下的状态转移图
图2.5 全0状态下的状态转移图
其中圆圈中的数字与相对应。上述7位码m序列产生器由三级移位
寄存器组成,每一级移位寄存器有两个可能状态(0,1),三级移位寄存器的所有
可能状态为2?8种:000,001,010,011,100,101,110,111。其中全0状
态不能进入m序列产生器的移存器,否则将出现全0序列。由此可见,
器组成的线性反馈电路所产生的序列周期不会超过2?1?7种。一般情况下,由
n级移存器组成的线性反馈电路所产生的序列周期不会超过2?1。如图2.6所
示为由n级具有线性反馈逻辑移存器所组成的码序列发生器的框图:
图11中反馈输出
的关系可用式(4)表示:
图2.6 线性反馈移位寄存器序列发生器
ana0an?1an?2an?3
与移存器状态
c1an?1?c2an?2?c3an?3
其中系数ck?(0,1),k?1,2,3,…,n。ck?0时,图2.6中相应的反馈连接断开;ck?1时,相应的反馈连接接通。
由此可见,系数c1c2c3…式f(x)表示:
f(x)?c0?c1x?c2x?c3x?
的取值决定了反馈逻辑。反馈逻辑可由特征多项
其中ck?(0,1),k?1,2,3,…,n;n为寄存器级数。因为码序列发生器中反馈逻辑总是接入的,所以式(5)中例如:f(x)?1?x?x
c1?1,c2?0,c3?0,c4?1
,表示有n?4,有4级寄存器,且:
不同特征多项式对应不同的反馈逻辑,即对应不同的序列。由n级移存器组
成的线性反馈电路所产生的序列周期不会超过2?1,其中周期等于2?1的序列
即是m序列(最长线性反馈移存器序列)。
构成m序列产生器必须找到相应的本原多项式。经过前人的大量计算,已将常用的本原多项式列成表,如表2.7所示
表2.7 列出了不同长度m序列的数目和m序列的计数
n 2 3 4 5 6 7 8 9 10 11 12 13 n
5 1 3 7 5 1 3 7 6 1
1 2 2 6 6 18 16 48 60 176 144 630
由表2.7可见,当m序列的长度(周期)不很大时,同长度的不同m序列的数目不大。
三亿文库包含各类专业文献、行业资料、生活休闲娱乐、幼儿教育、小学教育、应用写作文书、外语学习资料、伪随机码发生器设计的控制73等内容。 
 m 序列伪随机码发生器 即使通过 m 序列的方式对信息数据编码。 本系统所设计的伪随机码发生器,产生 m 序列伪随机码。系统采 用 AT89S51 单片机作为控制芯片,...  7位伪随机码发生器设计_工学_高等教育_教育专区。multisim仿真 伪随机码产生电路 通信原理实验南昌大学实验报告学生姓名: 学号: 专业班级: 电子 092 班 实验日期:...  PN 码发生器的设计 通信系统专业课程设计一. 课题名称:PN(伪随机码)码发生器...在时钟信号的控制下,寄存器的存储信号由 上一级向下一级传递。如果让某些寄存器...  基于FPGA的伪随机码发生器设计_工学_高等教育_教育专区。毕业设计本科...(包括CPU、微处理器、微控制器);二是高速并行I/O口;三是实现数字信号 处理...  实验一伪随机码发生器实验电科 1103 杨帆
一、实验目的 1、掌握伪随机码的特性。 2、掌握不同周期伪随机码设计。 3、用基本元件库和 74LS 系列元件...  关键词:锁相环、频率合成器、鉴相器、调频 一、设计实验目的 1.掌握伪随机码的概念。 2.实现简单 m 序列发生器电路的设计。 二、设计指标 1.XXXXXXXX 2....  伪随机 M 序列发生器设计 学生姓名: 学生姓名: 许奎英 学
院: 信息...电路仿真需要的测试仪器均可直接从屏幕上选取; (2)仪器的控制面板外形和操作...  成绩 日期 20 年 月日 I 沈阳理工大学学士学位论文 课程设计任务书学 院专业 班级学号 基于 VHDL 的伪随机序列发生器设计与仿真实现 学生姓名 课程设计题目内容及...  设计 □ 创新 实验日期: 伪随机码发生器一、 技术要求 设计一个 7 位伪随机...在时钟的控制下,输出序列做周期性的重复。 三、 实验结果 得到的 multism 电路...}

我要回帖

更多关于 超声波发生器电路图 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信