verilog的函数体中可以sim函数调用实例例吗

大家支持一下妹子的代购,主要方面:小叶紫檀佛珠,檀香佛珠,花梨佛珠。保证质量,红木专业人士!
微信联系,请扫描本人头像二维码!加朋友请说明:红木饰品.
UID1277811&帖子15&精华0&积分246&资产246 信元&发贴收入85 信元&推广收入0 信元&附件收入0 信元&下载支出34 信元&阅读权限20&在线时间11 小时&注册时间&最后登录&
UID1252373&帖子29&精华0&积分191&资产191 信元&发贴收入160 信元&推广收入0 信元&附件收入0 信元&下载支出149 信元&阅读权限10&在线时间86 小时&注册时间&最后登录&
我记得可以编译但是不支持仿真
UID1269616&帖子31&精华0&积分345&资产345 信元&发贴收入250 信元&推广收入0 信元&附件收入0 信元&下载支出0 信元&阅读权限20&在线时间37 小时&注册时间&最后登录&
再请教一个任务和函数的语法问题:任务中可否声明reg parameter 和 integer 类型的变量呢?它们的作用域就是限制在任务中吗?(还是说不可以这样做)
UID1269616&帖子31&精华0&积分345&资产345 信元&发贴收入250 信元&推广收入0 信元&附件收入0 信元&下载支出0 信元&阅读权限20&在线时间37 小时&注册时间&最后登录&
[通过 QQ、MSN 分享给朋友]
欢迎访问 TI SLL(信号链)专区1340人阅读
Verilog(7)
注意:verilog中不能调用vhdl的parameter package,即vhdl中定义的parameter 不能被顶层verilog调用
今天在编译一个Verilog文件,其中嵌入了VHDL的模块,其VHDL模块如下:
entity vhdl_module is
: boolean := false;
-- boolean型
: boolean := false;
-- integral型
PORT_A: out std_logic;
PORT_B: in std_logic;
architecture synth of vhdl_module is
-- 此处省略
在Verilog文件中做如下调用:
module top(
vhdl_module
.PARA1(<span style="color:#),
.PRRA2(<span style="color:#)
vhdl_module_ins (
.PORT_A(res_n),
.PORT_B(clock)
Quartus II 编译后报错:
Error: VHDL type mismatch error at &component_name&.vhd: boolean type does not match integer literal
经查阅后得知,Quartus II在翻译VHDL中的boolean类型是用false和true传递的,而Synplify和ISE却用的是1和0传递的。故在此处会报错,需要改成如下方式:
module top(
vhdl_module
.PARA1(&true&), // 此处修改,若false则填入“false”,需加引号,否则任然会报错!
.PRRA2(<span style="color:#)
vhdl_module_ins (
.PORT_A(res_n),
.PORT_B(clock)
小小技巧,分享给大家。
ps:希望以后不同编译工具能够统一该调用参数的&#26684;式。
VHDL调用Verilog模块的时候,要在实例化模块前,加上“verilogmodelGM: ”
VHDL调用verlog:
verilog module:
module m(a,b,c);
input a,b;
调用如下:
compoent m
&&&&&&&&&&&&&&&&&&&&&&& a: in std_
&&&&&&&&&&&&&&&&&&&&&&& b: in& std_
&&&&&&&&&&&&&&&&&&&&&&& c: out std_logic
&&&&&&&&&&&&&&&&&&&&& );
end compoent
verilogmodelGE: m&&&&& //我自己实验的不用也可以,用了反而有错误,估计是软件变聪明了~
在VHDL里调用Verilog的话:例化&#43;映射
在Verilog里调用VHDL的话:只要映射
参考知识库
* 以上用户言论只代表其个人观点,不代表CSDN网站的观点或立场
访问:31120次
排名:千里之外
原创:45篇
(1)(2)(1)(3)(2)(1)(2)(2)(1)(11)(24)(5)温馨提示!由于新浪微博认证机制调整,您的新浪微博帐号绑定已过期,请重新绑定!&&|&&
LOFTER精选
网易考拉推荐
用微信&&“扫一扫”
将文章分享到朋友圈。
用易信&&“扫一扫”
将文章分享到朋友圈。
阅读(13092)|
用微信&&“扫一扫”
将文章分享到朋友圈。
用易信&&“扫一扫”
将文章分享到朋友圈。
历史上的今天
loftPermalink:'',
id:'fks_086065',
blogTitle:'verilog 模块的引用(例化)',
blogAbstract:'\r\n\r\n\r\n\r\n\r\n定义模块 :&&&&&&&&&&&&&&&&&&& module&&Design(端口1,端口2,端口3……);\r\n&&&& 1)引用时,严格按照模块定义的端口顺序来连接,不用标明原模块定义时规定的端口名。 Design u_1(u_1的端口1,u_1的端口2,u_1的端口3,u_1的端口……);//和Design对应&&&& 2)引用时用 “ . ” 符号,标明原模块定义时规定的端口名:&&&&&&&&',
blogTag:'',
blogUrl:'blog/static/',
isPublished:1,
istop:false,
modifyTime:9,
publishTime:4,
permalink:'blog/static/',
commentCount:0,
mainCommentCount:0,
recommendCount:0,
bsrk:-100,
publisherId:0,
recomBlogHome:false,
currentRecomBlog:false,
attachmentsFileIds:[],
groupInfo:{},
friendstatus:'none',
followstatus:'unFollow',
pubSucc:'',
visitorProvince:'',
visitorCity:'',
visitorNewUser:false,
postAddInfo:{},
mset:'000',
remindgoodnightblog:false,
isBlackVisitor:false,
isShowYodaoAd:false,
hostIntro:'',
hmcon:'1',
selfRecomBlogCount:'0',
lofter_single:''
{list a as x}
{if x.moveFrom=='wap'}
{elseif x.moveFrom=='iphone'}
{elseif x.moveFrom=='android'}
{elseif x.moveFrom=='mobile'}
${a.selfIntro|escape}{if great260}${suplement}{/if}
{list a as x}
推荐过这篇日志的人:
{list a as x}
{if !!b&&b.length>0}
他们还推荐了:
{list b as y}
转载记录:
{list d as x}
{list a as x}
{list a as x}
{list a as x}
{list a as x}
{if x_index>4}{break}{/if}
${fn2(x.publishTime,'yyyy-MM-dd HH:mm:ss')}
{list a as x}
{if !!(blogDetail.preBlogPermalink)}
{if !!(blogDetail.nextBlogPermalink)}
{list a as x}
{if defined('newslist')&&newslist.length>0}
{list newslist as x}
{if x_index>7}{break}{/if}
{list a as x}
{var first_option =}
{list x.voteDetailList as voteToOption}
{if voteToOption==1}
{if first_option==false},{/if}&&“${b[voteToOption_index]}”&&
{if (x.role!="-1") },“我是${c[x.role]}”&&{/if}
&&&&&&&&${fn1(x.voteTime)}
{if x.userName==''}{/if}
网易公司版权所有&&
{list x.l as y}
{if defined('wl')}
{list wl as x}{/list}}

我要回帖

更多关于 c语言函数调用实例 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信